디지털논리회로 - VHDL을 이용한 inertial delay와 transport delay 확인
1. Title: VHDL을 이용한 inertial delay와 transport delay 확인
2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다.
3. Theory
* 전파지연시간(propagation delay)
신호 값의 변화가 입력에서 출력까지 전달되는 데 걸리는 시간
작동시간은 전파지연의 역의 관계임
논리설계에서 가장 중요한 사항임
하강지연시간(tPHL)
출력이 논리 1에서 논리 0으로 변화하는 데 소요되는 시간
상승지연시간 (tPLH)
출력이 논리 0에서 논리 1로 변화하는 데 소요되는 시간
전파지연 (tpd) 은 두 가지 지연 값 중 최대값으로 정의
시뮬레이션에서 게이트의 모델링에 사용되는 지연시간
전달지연(transport delay)
- 입력에서의 변화에 의한 출력의 변화는 항상 정해진 전파지연 후에 나타남
관성지연(inertial delay)
- 입력에서의 두 번의 변화에 의한 출력에서의 두 번의 변화가 있을 때, 이 두 번의 변화 폭이 거절 시간보다 작으면 출력의 두 번 변화의 첫 번째가 나타나지 않음
[그림1. inverter(not gate)에 의한 전파 지연]
4. Data Result
(1) VHDL code
1. transport delay
library ieee; use ieee.std_logic_1164.all;
entity bool_func is
port ( x : in std_logic;
y : out std_logic );
end bool_func;
architecture behave_signal of bool_func is
signal not_out : std_logic;
....
[디지털 회로설계] VHDL을 통한 Gray Code 설계 디지털 회로설계
1. 제목 : VHDL을 통한 Gray Code 설계
2. 개요 :
1) 목적 : Karnaugh map을 이용하여 Gray code를 설계하고 검증하여, Quartus2와 Karnaugh map을 통한 minimization에 대한 이해도를 높인다...
고급디지털 회로설계 - 111 DETECTOR 설계 고급디지털 회로설계 - 111 DETECTOR 설계
1. 설계 내용
VHDL을 이용하여 연속적인 111을 detect하여 111의 개수를 count 한다. 또한, 111이 15번 count되면 동작을 멈추어야 하며, S=1이 입력되었을 경우에는 처..
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 논리회로설계 - vhdl을 이용한 도어락(door lock) 설계
1. 도어락의 개요
도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다.
2. 입력, 출력 및 상태..
디지털논리회로 실습 보고서 - 논리식의 간소화 논리회로 실습 보고서 - 논리식의 간소화
다음 그림과 같이 3개의 입력을 가지는 Majority function(입력 변수 중 다수가 논리 ‘1’을 가질 때 출력변수는 논리 1이 된다)을 이행하는 논리회로가 있다.
xyzF0001..
[디지털논리회로] TTL[Transistor Transistor Logic]에 대해서 TTL(Transistor Transistor Logic)
디지털논리회로
TTL(Transistor-Transistor logic)소자는 디지털회로에서 사용되는 각종 논리용 소자 중에서, 입력을 트랜지스터로 받아들이고, 출력 또한 트랜지스터인 소자를 ..
디지털논리회로 - 고속 동작 곱셈기 설계 1. 제목: 고속 동작 곱셈기 설계
2. 목적
고속 동작 곱셈기의 설계를 통해 곱셈 과정에 있어서 shift and add를 이해하고 곱셈기 구현을 위한 여러 가지 기법들을 익히며 sequential circuit의 설계 흐름을 숙지..
디지털논리회로 실습 보고서 - 인코더와 디코더 논리회로 실습 보고서 - 인코더와 디코더
7408 IC와 7404 IC 핀 배치도를 참조하여 그림과 같은 디코더 회로를 구성한다. 7408과 7404의 7번 핀은 접지하며, 14번 핀은 +5V의 전압을 인가한다. 입력 A,B의 상태..
[디지털 회로설계] Moore , Mealy Type Finite State Machine 디지털 회로설계
1. 제목 : Moore / Mealy Type Finite State Machine
2. 개요 :
Moore Type과 Mealy type의 state diagram을 보고 각각의 state table과 D F/F을 이용한 회로도를 작성한 후 두 가지 모두에 대..