로고
(검색결과 약 94개)
LSI Logic Storage 제안서
비지니스 > 제안서    79페이지 
LSI Logic사의 스토리지에 대한 상세 제안서 LSI Logic사의 스토리지에 대한 상세 제안서로 약 80여 페이지 분량이며, NAS, DAS, SAN 등 모든 방식의 스토리지에 대해 기술되어 있다. 1. LSI Logic Corp. 소개 ..
전자공학 논리회로 실험 - Logic 연산과 Gates
리포트 > 공학/기술    6페이지 
Logic 연산과 Gates 1. Diode logic 연산 ☞ 학습목표 AND, OR diode circuit 의 특성을 diode logic 연산으로 알아보자. ☞ 실험 1. AND gate AB A·B 5V 5V 5.15V 5V 0V 0.64V 0V 5V 0.64V 0V 0V 0.64V 2..
국내 Logics(non-Microcom)의 시장동향(2005년까지) [PDF]
비지니스 > 경제동향    5페이지 
본 컨텐츠는 시장조사, 수요예측 전문업체인 ㈜밸류애드에서 Logics(non-Microcom)에 대한 시장동향 정보입니다. 작성일자를 반드시 확인하시고, 최근에 작성된 정보를 구매하시기 바랍니다. 본 컨텐츠에서..
[공학] 스탑워치 VHDL 설계
리포트 > 공학/기술    10페이지 
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_..
Logic Tree를 통한 논리적 사고 연습
정보/기술 > 강의/교재    38페이지 
Logic Tree를 통한 논리적 사고 연습 프로그램입니다.
[디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계
리포트 > 경영/경제    9페이지 
1. Background - 산술논리 연산장치 ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. 일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산..
논리회로 실험 - 기초 논리 게이트[basic logic gate]와 부울방정식과 드모르간 법칙
리포트 > 공학/기술    7페이지 
실험. Basic Gates I. 목적 - 기본적인 logic gates(AND, OR, NOT, NAND, NOR, XOR)에 대하여 알아보고 이러한 gate들로 구성된 logic 회로에서의 Boolean equation과 De Morgan의 이론에 대하여 알아본다. II. ..
논리게이트 - VHDL 설계 언어 실습
리포트 > 공학/기술    26페이지 
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample ..
디지털시스템설계 - 신호등 설계
리포트 > 공학/기술    22페이지 
Design Object Design a practical Traffic Light Controller using Traffic Lights Module on HBE-COMBO II Kit Diagram The state of Kit according to Light_direction Traffic signal cycle emergency ..
VHDL 설계 언어 실습(문법적용)
리포트 > 공학/기술    26페이지 
logic1 1.소스 library ieee; use ieee.std_logic_1164.all; entity logic1 is port(a,b,c :in bit; y :out bit); end logic1; architecture sample of logic1 is signal w, x : bit; begin no1: proces..
논리회로 설계- 디코더, 인코더에 대해서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현
리포트 > 공학/기술    47페이지 
최종 프로젝트 Digital Clock 설계 [목 차] 1. 시계 블록도(1page) 2. VHDL 소스 설명(2~42page) ① easy_clock.vhd ② clock.vhd ③ stopwatch.vhd ④ setclock.vhd ⑤ setalarm.vhd ⑥ alarm_dot.vhd ⑥ seven..
[VHDL] Digital stop watch[디지털 스톱 워치] 설계 소스 - 키트와 쿼터스를 이용
리포트 > 공학/기술    11페이지 
Digital Stop Watch 1. 수행 목적 Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital 시계가 익숙한 현 세대..
논리회로 설계 - 디코더 인코어 보고서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
[디지털논리회로] TTL[Transistor Transistor Logic]에 대해서
리포트 > 공학/기술    21페이지 
TTL(Transistor Transistor Logic) 디지털논리회로 TTL(Transistor-Transistor logic)소자는 디지털회로에서 사용되는 각종 논리용 소자 중에서, 입력을 트랜지스터로 받아들이고, 출력 또한 트랜지스터인 소자를 ..
  다음