entity andgate is
port(
sw1 : in std_logic;
sw2 : in std_logic;
led : out std_logic);
end andgate;
architecture sample of andgate is
begin
led [= sw1 and sw2;
end sample;
2. 시뮬레이션
1) Flow Summary
2) Waveform
3) time analyzer Summary
3. 블록다이어그램
※ 2입력 and 게이트의 정상적인 동작파형을 확인하였다.
◆ nor gate(2 input)
1. 소스
library ieee;
use ieee.std_logic_1164.all;
entity norgate is
port(a,b : in std_logic;
y : out std_logic);
end norgate;
architecture sample of norgate is
begin
y [= a nor b;
end sample;
2. 시뮬레이션
1) Flow Summary
2) Waveform
3) time analyzer Summary
3. 블록다이어그램
◆ nand_4
1.소스
library ieee;
use ieee.std_logic_1164.all;
entity nand_4 is
port( a,b,c,d : in std_logic;
y : out std_logic);
end nand_4;
architecture sample of nand_4 is
begin
y [= not(a and b and c and d);
end sample;
....
VHDL 설계 언어 실습(문법적용) ◆ logic1
1.소스
library ieee;
use ieee.std_logic_1164.all;
entity logic1 is
port(a,b,c :in bit;
y :out bit);
end logic1;
architecture sample of logic1 is
signal w, x : bit;
begin
no1: proces..
VHDL의모든것 ◑ VHDL(Very High Speed Integrated Circuit Hardware Description Language) 특징
VHDL은 IEEE에 의해 공인되어 하드웨어 개발과 문서화에 표준언어로 사용된다.
광범위한 기술 능력으로 시스템 레벨에서 게이트..
디지털 논리회로 설계 및 실습 - 논리 프로브 구성 결과 보고서 디지털 논리회로 설계 및 실습 - 논리 프로브 구성 결과 보고서
1.실험 목표
□ 7404 인버터를 사용한 간단한 논리 프로브(logic probe) 구성.
□ 구성된 논리 프로브를 사용하여 회로 테스트
□디지털 멀티미터와 ..
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 논리회로설계 - vhdl을 이용한 도어락(door lock) 설계
1. 도어락의 개요
도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다.
2. 입력, 출력 및 상태..
[디지털 회로 설계] 4-Bit D Flip Flop 설계 디지털 회로설계
1. 제목 : 4-Bit D Flip Flop 설계
2. 개요 :
1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gat..
[디지털 회로설계] 4-Bit D Flip Flop 설계 디지털 회로설계
1. 제목 : 4-Bit D Flip Flop 설계
2. 개요 :
1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate..
[디지털 회로설계] 1-Bit Full Adder를 통한 4-Bit Full Adder 설계 디지털 회로설계
1. 제목 : 1-Bit Full Adder를 통한 4-Bit Full Adder 설계
2. 개요 :
1) 목적 : 1-bit full adder를 통한 4-bit full adder를 설계하여 adder에 대한 이해도를 높인다. N-bit adder로 확장하..
디지털논리회로 실습 보고서 - 기본 논리 게이트 논리회로 실습보고서 - 기본 논리 게이트
7404 IC 핀 배치도를 참조하여 6개의 게이트 중 1개를 선정하여 그림의 NOT 게이트 회로를 구성한다. 7404의 7번 핀은 접지하고, 14번 핀은 +5V의 전압을 인가한다. 1번..