entity logic1 is
port(a,b,c :in bit;
y :out bit);
end logic1;
architecture sample of logic1 is
signal w, x : bit;
begin
no1: process(a,b)
begin
if (a= 1 ) or (b= 1 ) then w [= 1 ;
else w [= 0 ;
end if;
end process;
no2: process(b,c)
begin
if (b= 0 ) or (c= 0 ) then x [= 1 ;
else x [= 0 ;
end if;
end process;
no3: process(w,x)
begin
if (w=x) then y [= 0 ;
else y [= 1 ;
end if;
end process;
end sample;
2. 시뮬레이션
1) flow summary
2) wave form
3) time analyzer summary
3. 블록 다이어그램
1) 게이트
2) 블록
◆ over_write
library ieee;
use ieee.std_logic_1164.all;
entity over_write is
port ( a,b : in bit;
z : out bit);
end over_write;
architecture sample of over_write is
begin
process (a,b)
begin
z [= a and b;
z [= a or b;
end process;
end sample;
1. 소스
....
논리게이트 - VHDL 설계 언어 실습 ◆ AND GATE(2 input)
1. 소스
library ieee;
use ieee.std_logic_1164.all;
entity andgate is
port(
sw1 : in std_logic;
sw2 : in std_logic;
led : out std_logic);
end andgate;
architecture sample ..
[디지털 회로 설계] 4-Bit D Flip Flop 설계 디지털 회로설계
1. 제목 : 4-Bit D Flip Flop 설계
2. 개요 :
1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gat..
[디지털 회로설계] 4-Bit D Flip Flop 설계 디지털 회로설계
1. 제목 : 4-Bit D Flip Flop 설계
2. 개요 :
1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate..
[디지털 회로설계] 1-Bit Full Adder를 통한 4-Bit Full Adder 설계 디지털 회로설계
1. 제목 : 1-Bit Full Adder를 통한 4-Bit Full Adder 설계
2. 개요 :
1) 목적 : 1-bit full adder를 통한 4-bit full adder를 설계하여 adder에 대한 이해도를 높인다. N-bit adder로 확장하..
[디지털 회로설계] VHDL을 통한 Gray Code 설계 디지털 회로설계
1. 제목 : VHDL을 통한 Gray Code 설계
2. 개요 :
1) 목적 : Karnaugh map을 이용하여 Gray code를 설계하고 검증하여, Quartus2와 Karnaugh map을 통한 minimization에 대한 이해도를 높인다...
실습보고서 - 임베디드 리눅스 실습 실험․실습 보고서
1. 제목 : minicom 터미널 에뮬레이터를 이용하여 호스트와 타겟머신
을 연결해 간단한 소스프로그램 실행해보기
2. 목적 : 타겟머신과 호스트머신을 시리얼 포트를 통해 연결하는법을 터득해..
설계 프로젝트 - 4층 엘리베이터 컨트롤러 설계 실습 설계 프로젝트 - elevator controller 설계
[ 제 목 ]
4층 엘리베이터 컨트롤러 설계 실습
[ 목 표 ]
엘리베이터 컨트롤러 설계 실습을 통해 지금까지 습득한 논리 회로 지식을 적용해 보고, 제시된 입력과 동..
VHDL의모든것 ◑ VHDL(Very High Speed Integrated Circuit Hardware Description Language) 특징
VHDL은 IEEE에 의해 공인되어 하드웨어 개발과 문서화에 표준언어로 사용된다.
광범위한 기술 능력으로 시스템 레벨에서 게이트..