System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현
최종 프로젝트
Digital Clock 설계
[목 차]
1. 시계 블록도(1page)
2. VHDL 소스 설명(2~42page)
① easy_clock.vhd
② clock.vhd
③ stopwatch.vhd
④ setclock.vhd
⑤ setalarm.vhd
⑥ alarm_dot.vhd
⑥ seven_seg.vhd
3. 동작방법(43page)
4. 동작결과
5. 고 찰
시계 + 스탑워치 + 시간설정 + 알람설정 구현!!
1. 시계 블록도
Clock
Stopwatch
Seven_SEG
SetClock
SetAlarm
CLK_IN
RESET
SWITCH
put
Alarm_DOT
DOT
matrix
bintoseg
LED
7 Segment
index
2. VHDL 소스 설명
① easy_clock.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity easy_clock is
port (
clk_in : in std_logic;
resetn : in std_logic;
switch : in std_logic_vector(8 downto 0); //숫자switch 입력 벡터로 선언(1~9)
led_out : out std_logic_vector(7 downto 0);
dot_col_reg : out std_logic_vector(9 downto 0); //dot matrix 의 열 벡터로 선언(세로10개)
....
verilog 시계[디지털 논리 회로] 모듈 및 시뮬레이션
1. 기본 시계 제작 (0.1초~1분단위, 스탑워치)
[전체 시간모듈이지만 1분까지만 코딩하였습니다.]
㉮기본 시간 모듈
timescale 100ns/1ns
module timer_go
(c1k,reset,comma_a,sec_b,sec_..
디지털 논리 설계 - Altera Max+plus II 스탑워치 설계 디지털 논리설계
Stop Watch
목 차
개 요
설계내용
결과
시연
개 요
강의시간에 배운 이론을 바탕으로
실제 상품화 될 수 있는 하드웨어를
Altera Max+plus II 프로그램을
사용하여 설계한 팀 프로젝트
결과입니..
점성의 측정 점성!
너 저리 가란 말이야!!!
1. 연구동기 및 목적
일상생활에서 끈적이는 물질때문에 느끼는 불편함
전단지를 오랫동안
붙였다가 떼어냈을 때, 끈적이는 자국이 남음.
밥풀이 눌러 붙은 상을 닦을 때, 닦아지지..
[공학] 스탑워치 VHDL 설계 ☉스탑워치 VHDL 설계☉
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stop is
PORT(
CLK : in std_logic;
SW_A : in std_logic;
SW_..
SK하이닉스 SW(소프트웨어) 직군 합격 자기소개서 (5) 일반적인 디지털 시계는 마이크로 프로세서에 구현되어 있는 타이머 기능을 사용하지만 저는 직접 만든 타이머를 사용하여 디지털 시계 를 구현해야 했습니다.
스위치 기능은 마이크로 프로세서의 다른 기능과도 ..
기초 공학 실험 - Gyroscope의 동특성 실험(결과 보고서) 기초 공학 실험 - Gyroscope의 동특성 실험
§ 실험 및 결과 DATA
[ Bifilar pendulum 결과 ]
D(진자 직경) : 0.07583m
h(실의 길이) : 0.457m
m(진자 질량) : 0.243kg
[ Gyroscope Moment의 측정결과 ]
..
한국의 미래성장 산업분야와 기업 ①SOC(system on chip)
②탄소나노튜브
③차세대 디스플레이(페이퍼필름)
④지능형서비스로봇
⑤에이전트 소프트웨어
⑥분산형 무선통신
⑦양자암호화 기술
⑧수소연료전지
⑨프로테오믹스(맞춤형 신약)
⑩인공장기
①SOC(sy..
한국의 미래 성장 산업분야와 기업 ①SOC(system on chip)
시스템온칩(System-On-Chip) 기술은 프로세서, 메모리, 각종 센서까지 시스템을 하나의 칩에 통합하는 첨단기술이다. 미래에는 디지털TV뿐 아니라 휴대폰, 컴퓨터 등 각종 전자제품의 경우도..
[디지털 시스템 설계] 디지털 시계 최종 보고서 디지털 시계 최종 보고서
목차
1. 연구 소개
2. 개발 내용
3. 개발 일정
4. 세부 동작
5. 문제점 및 발전 방향
첨부 : Source 파일
1. 연구 소개
- 디지털 논리 회로를 이용하여 디지털 시계를 구현
2...