[디지털 시스템 설계] 디지털 시계 최종 보고서

1. [디지털 시스템 설계] 디지털 시계 최.hwp
2. [디지털 시스템 설계] 디지털 시계 최.pdf
[디지털 시스템 설계] 디지털 시계 최종 보고서
디지털 시계 최종 보고서

목차

1. 연구 소개

2. 개발 내용

3. 개발 일정

4. 세부 동작

5. 문제점 및 발전 방향

첨부 : Source 파일

1. 연구 소개

- 디지털 논리 회로를 이용하여 디지털 시계를 구현

2. 개발 내용
- 보드에 내장된 16Mhz를 1초 분주
- 주어진 7SEGMENT 4자리 시간, 분 표시
- 셋팅으로 시간 설정 가능

3. 개발 일정

날짜
~ 12.20
내용
코딩 및 디버깅

4. 세부 동작
(1) 기본 시계

- SEGMENT 4자리 =시, 분 표현 =]ex: 10시 38분
- 최우측 LED = 초를 나타냄

(2) 시간 세팅

7번 :분 set(일의 자리)
6번 :분 set(십의 자리)
5번 :시 set(일의 자리)
4번 :시 set(십의 자리)

(3) Reset

1번 : Reset 버튼으로 Segment 모두를 초기화 함.

5. 문제점 및 발전 방향
1) 문제점
- 시간셋팅 할시 Dip 스위치의 셋팅에 따른 불편함
- Clock 과 동기화된 시간 셋팅 방법에 의해 시간 셋팅이 조금 불편함
2) 발전방향
- 한꺼번에 코딩하는 것 보다 Component를 이용하는 것이 모드 확장에 효과적
- 여러 가지 모드 추가(알람, StopWatch 등)

6. 제작효과
- FPGA에 대한 이해
- Quatus프로그램에 이해
- 디지털 시계의 논리 회로를 이해 할수 있다.
- 시계를 실용적으로 이용할 수 있다.

*소스 파일
library ieee;
....