디지털 논리 설계 - Altera Max+plus II 스탑워치 설계

1. 디지털 논리 설계 - Altera Max+plus .pptx
2. 디지털 논리 설계 - Altera Max+plus I.pdf
디지털 논리 설계 - Altera Max+plus II 스탑워치 설계
디지털 논리설계

Stop Watch
목 차
개 요
설계내용
결과
시연
개 요
강의시간에 배운 이론을 바탕으로
실제 상품화 될 수 있는 하드웨어를
Altera Max+plus II 프로그램을
사용하여 설계한 팀 프로젝트
결과입니다.
상세회로 구성 블록도
설 계 내 용
입 력
- CLK : 클럭입력 (100Hz)
- CLEAR : 클리어입력, 0이 되면
모든 출력이 0으로 리셋
- START_STOP : 시간증가 / 정지모드 입력
0일때 정지모드, 1일때 증가모드

설 계 내 용
....