디지털시스템설계 - 신호등 설계

1. 디지털시스템설계 - 신호등 설계.hwp
2. 디지털시스템설계 - 신호등 설계.pdf
디지털시스템설계 - 신호등 설계
Design Object

Design a practical Traffic Light Controller using Traffic Lights Module
on HBE-COMBO II Kit

Diagram

The state of Kit according to Light_direction

Traffic signal cycle

emergency state

VFD, DOT, LED, 7SEG

Modified Code
[top_traffic.vhd]

entity top_traffic is
port (
iMclk : in std_logic;
iMrst : in std_logic;
iswitch : in std_logic;
iswitch2 : in std_logic;

istate : in std_logic;
idirection : in std_logic_vector(3 downto 0);

light_direction : in integer range 0 to 4;

• std_logic is set to change switch to next direction and change iswitch2 to
switch changing the direction to opposite side.
• std_logic is also set to change istate to emergency situation.
• std_logic_vector is set to change idirection to variable that accept the
assigned direction.
• light_direction is modified to 4 for adding emergency situation.

....