로고
(검색결과 약 6,284개 중 3페이지)
순서 논리회로 설계
리포트 > 공학/기술    23페이지 
순서 논리회로 설계 목 차 설계 목표 관련 기술 및 이론 설계 내용 및 방법 회로 설계 결과 토의 설계 목표 우리 주변에서 순서 논리 회로를 이용하여 설명되거나, 설명할 수 있는 제품이나 놀이를 생각해 ..
논리회로설계 - 자판기 설계에 대해
리포트 > 공학/기술    6페이지 
-자판기 설계- 1.설계 목적 자판기설계의 목적은 기본적인 순차논리회로를 해석하고 직접 설계하는 능력을 키우고 이를 바탕으로 간단하고 실생활에 이용되는 순차 논리회로를 디자인하는 것이다. 순차논리회로..
[디지털 회로설계] Moore , Mealy Type Finite State Machine
리포트 > 공학/기술    9페이지 
디지털 회로설계 1. 제목 : Moore / Mealy Type Finite State Machine 2. 개요 : Moore Type과 Mealy type의 state diagram을 보고 각각의 state table과 D F/F을 이용한 회로도를 작성한 후 두 가지 모두에 대..
고급디지털 회로설계 - 111 DETECTOR 설계
리포트 > 공학/기술    15페이지 
고급디지털 회로설계 - 111 DETECTOR 설계 1. 설계 내용 VHDL을 이용하여 연속적인 111을 detect하여 111의 개수를 count 한다. 또한, 111이 15번 count되면 동작을 멈추어야 하며, S=1이 입력되었을 경우에는 처..
[디지털 회로설계] 1-Bit Full Adder를 통한 4-Bit Full Adder 설계
리포트 > 공학/기술    9페이지 
디지털 회로설계 1. 제목 : 1-Bit Full Adder를 통한 4-Bit Full Adder 설계 2. 개요 : 1) 목적 : 1-bit full adder를 통한 4-bit full adder를 설계하여 adder에 대한 이해도를 높인다. N-bit adder로 확장하..
[전기전자회로실험] 디지털 논리 관련 설계자료
리포트 > 공학/기술    20페이지 
설계 목표 ① 숫자표시기를 이용하여 5입력을 3으로 나눈 몫과 나머지를 표현한다. ② NAND게이트와 INVERTER, 7447디코더를 이용하여 최대한 간단한 회로를 구성한다. ③ PSPICE를 이용해 출력을 예상해 본다. ④..
복잡한 회로 설계 - [VHDL] 4비트 가산기 설계
리포트 > 공학/기술    6페이지 
DESIGN REPORT 복잡한 회로 설계 - 4비트 가산기 - 과 목 : 학 과 : 학 번 : 이 름 : 제출일자: 1. 4bit Adder 소개 4비트 가산기는 4비트인 2개의 입력신호를 더하는 역할을 한다. 예를 들어 1011 + 11..
디지털 회로설계 - 고속 동작 덧셈기 설계
리포트 > 공학/기술    15페이지 
1. 제목 : 고속 동작 덧셈기 설계 2. 목적 VHDL을 이용한 고속 동작 덧셈기의 설계를 통해 덧셈 과정에 있어서 carry의 역할을 이해하고 carry 처리 방법에 따른 여러 가지 덧셈기 구조들을 익히며, VHDL의 코딩..
순서회로
리포트 > 공학/기술    25페이지 
순서논리회로 설계 폰 응답 머신을 제어하는 순서회로설계한다. 세 개의 입력 R, A, S와 한 개의 출력 Z를 가진다. 각 폰 울림의 끝에서 한 클럭 사이클 동안 R=1이다. 입력 A는 폰의 응답 여부를 나타낸다. ..
아시아(회로,경로 설계)+면접기출문제 자기소개서, 아시아(회로,경로 설계)+면접기출문제 자소서
서식 > 자기소개서    3페이지 
철저하게 분석하여 퇴고과정을 거쳐 공들여 쓴 자기소개서 입니다. 지난 몇 년간 합격자들의 자기소개서를 참고하여 몇 번의 수정을 거쳤습니다.또 기업기념과 인재상을 고려하여 이목을 끌만한 단어들로 구성하도..
자기소개서, 자소서, 이력서, 합격
직접회로 설계 - 밀러 오피앰프 설계 보고서
리포트 > 공학/기술    4페이지 
Design of OP AMP 설계목표 교과서의 9.1회로를 이용하여, 0.25um process공정, VDD=5V에서 총 전압이득이 1000 v/v 이상이 되는 Miller OP amp를 설계한다. 1. 이론 2-stage CMOS operational amplifier mille..
회로설계 합격 자기소개서
서식 > 자기소개서    4페이지 
제 지원 직무인 회로 설계 분야를 넘어 전반적인 업계 동향과 최신 기술을 주시하고 공부하는 프로의식을 갖춘 엔지니어가 되고 싶습니다. 결국 저는 메모리 반도체 분야가 4차 산업혁명의 핵심 기술들의 혁신을 ..
기술, 생각, 혁신, 과목, 설계, 이러하다, 직무, 메모리, 공부, 물리, 반도체, 들다, 학년, 회로, 고등학교, 되어다, 분야, 엔지니어, 지원, 구조
정보통신_회로설계_신입_자기소개서_샘플
서식 > 자기소개서    3페이지 
정보통신_회로설계_신입_자기소개서_샘플 <세부내용> 1.지원동기 및 포부 "언제나 마음에 태양을 품고 살아가자." 생략 2.성장과정 항상 성실하고 올바르게 사시는 아버지와 그분을 항상 신뢰하며 내조하..
정보통신, 통신, 회로설계, 설계, 회로, 신입자소서
할리 갈리 회로설계
리포트 > 공학/기술    47페이지 
-할리 갈리 회로설계 목 차 개요 : 게임 방법 # 할리 갈리 게임 방법 ① 카드를 똑같이 나눠가집니다. ② 카드가 종을 향햐도록 내려놓는다. ③순서를 정하여 카드를 오픈한다 ④ 카드에 적힌 수의 합이 5가 되면 아..
[디지털 회로설계] VHDL을 통한 Gray Code 설계
리포트 > 공학/기술    6페이지 
디지털 회로설계 1. 제목 : VHDL을 통한 Gray Code 설계 2. 개요 : 1) 목적 : Karnaugh map을 이용하여 Gray code를 설계하고 검증하여, Quartus2와 Karnaugh map을 통한 minimization에 대한 이해도를 높인다...
  이전    다음