로고
(검색결과 약 572개 중 20페이지)
외식자원답사를 다녀와서
문화예술 > 기행/답사    4페이지 
광양 청매실 농원 (매실소스 비빕밥, 매실떡국) 하동 녹차밭 (녹차밭 관람 / 차 예절) 드라마 토지 촬영지 순창마을 상수 허브랜드 위와 같은 외식자원을 답사 후 양식에 맞춰 작성한 기행문 형식입니다. 김밥 한..
답사 , 상수허브랜드 , 순창마을 , 기행문 , 하동녹차밭
Linux 파일 SEARCH & REPLACE
정보/기술 > 프로그램소스    3페이지 
Linux 시스템의 디렉토리 및 하위 디렉토리 전체 텍스트 파일의 특정 문자열을 원하는 다른 문자열로 교체 해주는 프로그램 유닉스 시스템의 한 디렉토리 및의 전체 텍스트 파일의 특정 문자열을 원하는 다른 ..
Linux, File, Search, C
정책분석을 위한 증거자료의 수집 및 분석(정책분석 자료수집-분석)
리포트 > 경영/경제    20페이지 
정책분석을 위한 증거자료의 수집 및 분석(정책분석 자료수집-분석) 목차 정책분석을 위한 증거자료의 수집 및 분석 Ⅰ. 자료수집 전에 해야 할 일 1. 증거자료 수집의 목적 2. 자료를 수집하기 전에 생각하..
개념, 정의, 특징, 특성, 문제점
전자공학 실험 - MOSFET 증폭회로
리포트 > 공학/기술    11페이지 
MOSFET 증폭회로 1. 실험 목적 - MOS 전계 효과 트랜지스터의 소신호 동작과 등가회로를 이해하고, 공통 소스 증폭기와 공통 드레인 증폭기를 구성하여 증폭현상을 관측하며, 증폭기의 중요한 특성을 측정한다. ..
os구조와 원리 - 분활 컴파일과 인터럽트 처리
리포트 > 공학/기술    13페이지 
분활 컴파일과 인터럽트 처리 ○소스 파일 분화 ○ Makefile 정리 ○ 헤더 파일 정리 ○ 어제 남은 설명 ○ PIC 초기안 ○ 인터럽트 핸들러 작성 목 차 ○소스 파일 분화 Bootpack.c bootPack.c 그외 Dsctbl.c GDT.I..
[전자회로실험] NMOS 증폭기 결과
리포트 > 자연과학    13페이지 
실험.NMOS 증폭기 1.Orcad 결과 [공통 - 소스 증폭기] 1) 입력 및 출력 전압 파형 -회로- -파형- 2) 입력 저항 측정 -회로- -파형- 3) 출력 저항 측정 -회로- -파형- [공통 - 게이트 증폭기] 1) 입력 및 출..
[MFC] 공학용 계산기 구현 + 설명서(객체테이블 포함)
정보/기술 > 프로그램소스    48페이지 
비주얼 C++로 구현한 공학용 계산기 입니다. 인터넷 상에 많은 계산기 소스들이 있으나 대부분 기본적인 기능이거나 혹은 오작동 되는 것들이 대부분입니다. 이 소스는 기본적인 기능 외에도 진수변환, SHIFT기..
[전기전자] 임피던스 매칭에 대해
리포트 > 자연과학    3페이지 
1. 임피던스 매칭이란 2. 임피던스 매칭을 하는 이유 3. 임피던스 매칭은 어떻게 하나 1. 임피던스 매칭이란 *출력 임피던스와 입력 임피던스 턴테이블이나 CDP 같은 소스 기기들은 입력단이 없다. PC-Fi의 경..
C+ 포커게임프로그램 소스파일
리포트 > 공학/기술    5페이지 
/* 파일명 : main.cpp 프로그램 : poker 프로젝트의 main함수 파일 내용 : 포커게임 시뮬레이션(가상실험) 프로젝트 작성자 : 손민균 200658117 작성일 : 2010. 11. 18. */ #include poker.h int main(void) { ..
IOCP 서버, 클라이언트
정보/기술 > 프로그램소스    17페이지 
IOCP를 이용한 서버와 클라이언트 프로그램 소스입니다. IOCP서버, 클라이언트의 개요와 원리, 동작방식 및 프로그램 소스 설명이 포함되어 있습니다. 예제는 서버와 클라이언트 간 데이터 송수신 프로그램이며,..
[zip] Z80 CPU 강좌 강의노트
정보/기술 > 강의/교재    28페이지 
임베디드 시스템 제어에 사용되는 8비트 CPU 인 Z80에 관한 교육자료 아주대학교 동아리 더듬이에서 제가 교육했던 자료입니다 Z80 CPU 에대한 개괄적인 설명과 주변칩의 설명 그리고 모니터프로그램 소스와 설..
게임기(슬롯머신형 릴 게임기)제작 소스
정보/기술 > 메뉴얼    6페이지 
영상물등급위원회로 부터 18세이용가로 등급분류를 필한 슬롯머신형 릴 게임기의 제작에 필요한 모든 기술요소를 설명하는 안내서 입니다.프로그램을 공부하시는 분,실제게임기를 제작판매하실분,자가제작을 통한 ..
게임 프로그램 공급 계약서
서식 > 계약서    1페이지 
게임 프로그램 공급 계약서 제1조(계약) 갑과 을은 프로그램 공급에 있어 계약을 상호 충실하게 이행함을 목적으로 한다. 제2조(공급프로그램) ___ 제3조(대가금액) ①계약금은 총액의 50%를 계약일 지급하며, ..
웹사이트,디자인 PSD,FLA원본 [2143호]
서식 > 디자인/이미지    61페이지 
웹디자인에 있어 flash의 상스럽지 않은 효과는 아주 중요한 부분이지요? 게다가 PSD의 고급스런 레이아웃 디자인과 원본 소스들은 디자이너에게 커다란 힘과 시간절약이 아닐 수 없습니다. 에~또한 typo역시 잘..
논리게이트 - VHDL 설계 언어 실습
리포트 > 공학/기술    26페이지 
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample ..
  이전    다음