로고
(검색결과 약 6,269개 중 2페이지)
CDMP3 PLAYER 회로
정보/기술 > 전기전자    5페이지 
첨부 자료는 가정용 Analog boombox 에서 CDMP3를 CD 또는 USB로 부터 파일을 읽어서 Play하는 제품에 대한 reference 회로도 임. 따라서, 기업 또는 개인이 이러한 제품을 설계 및 제품 생산 등을 하기 위해서 ..
회로도, CDMP3, Audio, H/W
[전자회로실험] Orcad 실험
리포트 > 공학/기술    9페이지 
[전자회로실험] Orcad 실험 1. 실험제목 1) Orcad 실험 2. 목적 1) Orcad를 이용하여 회로도를 그리고 시뮬레이션 하는 방법을 익힌다. 2) 시뮬레이션의 종류가 여러 개 있음을 알고, 각 종류마다의 사용법을 ..
전자공학 실험 - BJT의 특성과 바이어스회로
리포트 > 공학/기술    11페이지 
BJT의 특성과 바이어스회로 1. 실험 목적 - 바이폴라 접합 트랜지스터의 직류 특성을 직류 등가 회로와 소신호 등가회로의 모델 파라미터들을 구한다. 그리고 바이어스 원리와 안정화를 학습하고, 전압 분할기 바..
정보통신 설계 - 집적 회로(Very Large Scale Integrated Circuit; VLSI)의 설계 과정
리포트 > 공학/기술    20페이지 
집적회로(VLSI)의 설계 과정 VLSI 설계 전반부 : Gate Level 설계 후반부 : Layout 데이터 생성 최근의 설계 전반부 : 동작적 설계(Behavioral Design) ==] Gate Level 설계 후반부 : Layout 데이터 생성 2.1 ..
전자회로 설계 - MOSFET 차동 증폭기 설계
리포트 > 공학/기술    9페이지 
목 차 1. 설계 주제 2. 설계 목적 3. 설계 내용 4. 차동 증폭기란 5. 이론을 사용한 설계 (1) 설계회로 (2) 설계수식 1) 수식 2) 수식 3) 공통모드 이득계산 4) 차동모드 이득계산 5) CMRR 수식 6) M..
삼성전자 메모리 회로설계 합격 자기소개서
서식 > 자기소개서    4페이지 
종합설계 프로젝트'를 진행하며 처음 도전하는 영상 처리 분야였지만 포기하지 않고 연구하여 실시간으로 특정 물체를 추적하는 알고리즘을 구현하여 추적 로봇의 성능을 높일 수 있었고 이를 바탕으로 수상한 경..
설계, 위해, 회로, 생각, 연구, 추적, dram, 로봇, 통해, 진행, 능력, 개발, 알고리즘, 메모리, 바탕, 이다, 판단, 구현, 문제, 시스템
전자회로설계 - 자판기 회로 설계 및 제작
리포트 > 공학/기술    12페이지 
자판기 회로 설계 및 제작 목 차 1. 실험 설계 목적 2. 실험 설계 주제 3. 제품 사양 4. 설계 일정 및 변경 과정 A. 1차 B. 2차 C. 3차 5. 상태도 도출 6. 입력 변수와 상태 변수, 출력 변수 설정 7. 진리표 도출..
전자회로설계 - 연산증폭기를_이용한_능동_대역_통과_필터설계
리포트 > 자연과학    23페이지 
연산증폭기를 이용한 능동 대역 통과 필터설계 목 차 1.이론 1.1 능동 필터의 개요 1.1-1 통과대역, 저지대역 1.1-2 저역 차단주파수, 고역 차단주파수 1.1-3 대역폭 1.1-4 전이영역 1.2 저역통과 필터 1..
[디지털 회로 설계] 4-Bit D Flip Flop 설계
리포트 > 공학/기술    8페이지 
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gat..
[디지털 회로설계] 4-Bit D Flip Flop 설계
리포트 > 공학/기술    6페이지 
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate..
회로이론 - 저항측정기 및 전압측정기 설계
리포트 > 자연과학    6페이지 
저항측정기 및 전압측정기 설계 - 목 차 - 1. 설계 프로젝트 목적 2. 설계 프로젝트 목표 3. 설계 프로젝트 구성요소 및 제한 요소, 구현 사양 (spec) 4. 설계 프로젝트 운영 계획 및 실적 5. 설계프로젝트 진행 ..
설계 프로젝트 - 4층 엘리베이터 컨트롤러 설계 실습
리포트 > 공학/기술    11페이지 
설계 프로젝트 - elevator controller 설계 [ 제 목 ] 4층 엘리베이터 컨트롤러 설계 실습 [ 목 표 ] 엘리베이터 컨트롤러 설계 실습을 통해 지금까지 습득한 논리 회로 지식을 적용해 보고, 제시된 입력과 동..
배치설계관리인선임(변경)등록신청서
서식 > 행정민원서식    1페이지 
(접수인란) (결재인란) 담당 심사관 배치설계관리인선임(변경)등록신청서 신청인 (관리인 또는 배치설계권자) 성명 주민등록번호 주소 (전화번호) 국적 배치설계권설정등록번호 반도체집적회로의 명칭 권..
컴퓨터 응용 시스템 설계 실험 보고서 - verilog을 이용한 자판기 설계
리포트 > 공학/기술    5페이지 
Vending Machine Design Ⅰ. 서 론 Not gate와 Nand gate 및 D-Flipflop을 사용하여 Vending Machine을 설계해보고 몇몇개의 옵션사항을 추가적으로 설계해본다. Ⅱ. 본 론 1. (LAB 3-1) Coffee Sprite Vending Ma..
배치설계관리인말소등록신청서
서식 > 행정민원서식    1페이지 
(접수인란) (결재인란) 담당 심사관 배치설계관리인말소등록신청서 신청인 (관리인 또는 배치설계권자) 성명 주민등록번호 주소 (전화번호) 국적 배치설계권설정등록번호 반도체집적회로의 명칭 권리의표..
  이전    다음