정보통신 설계 - 집적 회로(Very Large Scale Integrated Circuit; VLSI)의 설계 과정
- 리포트 > 공학/기술
- 정보통신 설계 - 집적 회로(Very La..
- 한글
- 2014.09.26
- 20페이지
-
정보통신 설계 - 집적 회로(Very Large Scale Integrated Circuit; VLSI)의 설계 과정
집적회로(VLSI)의 설계 과정
VLSI 설계
전반부 : Gate Level 설계
후반부 : Layout 데이터 생성
최근의 설계
전반부 : 동작적 설계(Behavioral Design) ==] Gate Level 설계
후반부 : Layout 데이터 생성
2.1 상위 레벨 합성(High Level Synthesis)
2비트 입력 4비트 출력
X(0) F(0)
X(1) F(1)
Y(0) F(2)
Y(1) F(3)
(a) 설계 사양의 블록도 (b) 설계 사양의 입출력
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity exam is
port(X, Y : in std_logic_vector(1 downto 0);
F : out std_logic_vector(3 downto 0));
end exam;
architecture data_flow of exam is
begin
F [= (X * X) + (X * Y) + (Y * Y);
end data_flow;
(c) VHDL로 표현된 설계 사양
그림 2.1.1 설계 사양
(a)
(b)
그림 2.1.2 CDFG로 표현된 중간 형태
(a) 멀티사이클링 (b) 체이닝
....
-
뉴미디어 시대의 도래 배경에 대하여
뉴미디어 시대의 도래 배경
1. 들어가며
사회의 변동을 바라보는 시각은 보통 기술 중심론과 사회 중심론으로 구분할 수 있다. 기술중심론은 사회의 발전은 기술의 발전에 의해서 전개되었다고 생각하고, 사회 ..
-
LG디스플레이 공정장비 직무 첨삭자소서
그리고 이 기술들을 LG디스플레이에 입사해 제가 만들겠다는 결심을 했습니다.
VLSI 설계(2014-1)/B0 학점 취득
MOSFET을 이용한 SARADC를 설계 '프로젝트
통신 집적회로 설계(201X-1)/A-학점 취득
VLSI 설계(201X..
-
혼성집적회로의 분기별 시장동향
Hybrid Integrated Circuit, Hybrid, Integrated, Circuit, Hybrid Integrated, Hybrid Circuit, Integrated Circuit, ic, 집적회로, 회로, 집적, 혼성, 혼성 집적회로, 혼성집적회로, 1995년, 1996년, 1997년, 19..
-
혼성집적회로의 월별 시장동향
Hybrid Integrated Circuit, Hybrid, Integrated, Circuit, Hybrid Integrated, Hybrid Circuit, Integrated Circuit, ic, 집적회로, 회로, 집적, 혼성, 혼성 집적회로, 혼성집적회로, 1995년, 1996년, 1997년, 19..
-
전자집적회로 제조업의 시장동향
전자집적회로, 제조업, 연도별, 사업체수, 월평균종사자수, 연간급여액, 출하액, 생산액, 부가가치, 유형고정자산 연말잔액, 주요생산비, 그래프, 연간자료, 제조업시장동향, 시장, 동향, 년간, 년간동향, Manufa..
-
[세세분류]국내 전자집적회로제조업의 산업동향(2003년부터 2005년 까지, PDF)
본 컨텐츠는 시장조사, 수요예측 전문업체인 ㈜밸류애드에서 전자집적회로제조업에 대한 시장동향 정보입니다.
작성일자를 반드시 확인하시고, 최근에 작성된 정보를 구매하시기 바랍니다.
본 컨텐츠에서는 ..
-
전자 계산기
전자 계산기의 개요
계산기의 시초는 중국의 주판으로 시작 되었고, 파스칼은 최초로 기계적인 계산기를 사용 하였다. computer란 단순한 산술 계산외에 창의력을 제외한 인간의 논리적인 사고 노동을 대신..
-
전자계산기
전자 계산기의 개요
계산기의 시초는 중국의 주판으로 시작 되었고, 파스칼은 최초로 기계적인 계산기를 사용 하였다. computer란 단순한 산술 계산외에 창의력을 제외한 인간의 논리적인 사고 노동을 대신..
-
[전자회로실험] Orcad 실험
[전자회로실험] Orcad 실험
1. 실험제목
1) Orcad 실험
2. 목적
1) Orcad를 이용하여 회로도를 그리고 시뮬레이션 하는 방법을 익힌다.
2) 시뮬레이션의 종류가 여러 개 있음을 알고, 각 종류마다의 사용법을 ..
-
[소분류]국내 반도체및집적회로제조업의 산업동향(2003년부터 2005년 까지, PDF)
본 컨텐츠는 시장조사, 수요예측 전문업체인 ㈜밸류애드에서 반도체및집적회로제조업에 대한 시장동향 정보입니다.
작성일자를 반드시 확인하시고, 최근에 작성된 정보를 구매하시기 바랍니다.
본 컨텐츠에..