로고
(검색결과 약 3,826개 중 13페이지)
전략적 제휴의 성공요인
리포트 > 사회과학    7페이지 
전략적 제휴의 성공요인에 대한 레포트 자료. 전략적 제휴의 성공요인 목차 전략적 제휴의 성공요인 I. 제휴의 논리 II. 파트너 선정 III. 제휴구조 결정 IV. 제휴 네트워크 구축 V. 제휴관계 변화에 ..
개념, 정의, 특징, 과제
해석학적 계획이론(해석학과 계획)
리포트 > 경영/경제    6페이지 
목차 해석학적 계획이론 1. 해석학의 개관 해석학은 이해의 보편이론, 정신과학이론, 해석학적 논리, 철학적 해석학, 해석학적 철학으로 이해된다. 이러한 해석학(Hermeneutics)은 현상학과 더불어 인간의 심층..
개념, 정의, 특징, 특성, 문제점
반도체 제조공정
정보/기술 > 정보통신    4페이지 
반도체란 무엇이며, 반도체는 어떻게 만들어 지는가에 대하여 그림과 함께 설명하였습니다. 반도체는 어떻게 만드는가 반도체 집적회로는 손톱만큼이나 작고 얇은 실리콘칩에 지나지 않지만 그 안에는 수만 개..
일본의 정치대국화의 배경과 가능성
리포트 > 사회과학    13페이지 
목차 ㅣ. 서론 ㅣㅣ. 정치대국화의 국제관계의 이론적 배경 1. 럼멜의 갈등이론, 사회장 이론 2. 럼멜의 위계불일치 이론 3. 갈퉁의 위계불균형 이론 ㅣㅣㅣ. 일본의 정치대국화 논리의 배경 1. 55년 체제 ..
한국노동법의 과제
리포트 > 법학    3페이지 
한국노동법의 현재와 미래 과제 1. 들어가며 우리나라는 노동법에 있어서는 국제적으로 큰 과제를 안고 있다. 그 중에 하나가 노동법의 수준을 선진국 수준은 아닐지라도 적어도 국제수준으로 올리는 것이다. 다..
광고_속의_어필
리포트 > 경영/경제    19페이지 
광고 속의 어필 논리적 어필 (1) 동양종합금융 W-CMA CMA통장 하나만 바꾸었는데 편리한 은행이체, 다양한 금융상품 투자와 예금보호까지다해준다는 CF!! 이 CF는 논리적인어필이며 카피광고이다. 논리적인 어필(..
부울 대수와 명제의 연관성에 대한 연구
리포트 > 자연과학    26페이지 
부울대수와 명제의 연관성에 대한 연구 목차 Ⅰ. 연구 동기 및 목적 이산수학에 대해 조사를 하던 도중 이산수학 내에서도 큰 비중을 차지하고 있는 ‘부울 대수’를 접하게 되었는데 부울 대수의 성질들이 우리들이 ..
한국근대사개설중간보고서
리포트 > 인문/어학    5페이지 
한국근대사 개설 1884년 정변 정령반포의 사실여부 - 목 차 - 1. 서 론 2. 본 론 1) 1884년 정변의 정령에 대한 근거자료 2) 근거자료에 따른 필자의 논리 3) 근거자료와 필자의 논리에 대한 주관적인 평가..
인문, 어학
과학적 지식을 얻는 방법
리포트 > 교육학    3페이지 
과학적 지식을 얻는 방법 1.서론 스피노자가 말하기를 논리와 경험의 조직적인 대결만이 참된 지식에 원천이다. 삼라 만상의 현상은 원인 과 결과 의 연결 고리로 이어져 있다 라고 하였다. 논리학에서 다루는 ..
공기업의 민영화 현황과 선진국의 사례와 문제점
리포트 > 경영/경제    10페이지 
본 자료는 공기업 민영화 정의와 목적, 공기업의 민영화 성공 및 실패사례와 한국의 추세 등을 정리하였으며, 공기업의 민영화에 대한 찬반 논리와 문제점에 대해 기술하고, 이에 대한 결론 및 시사점을 제시한 자..
공기업 민영화, 영국 민영화 성공사례, 뉴질랜드 민영화 성공사례, 한국의 민영화 추세, 아르헨티나 철도, 공기업 민영화 찬성, 공기업 민영화 반대, 민영화의 문제점
[청소년복지론] 우리나라 장애청소년교육의 현황과 발전방향
리포트 > 교육학    5페이지 
과 제 명 ‘우리나라 장애청소년교육의 현황과 발전방향’ 1. 우리나라 장애청소년교육의 현황 경제논리와 경쟁이 주축을 이루는 세계화의 물결은 신자유주의의 논리와 함께 사회전반에 영향을 미치게 되었으며, ..
교육사회학 연구방법론(교육사회학의 양적-질적 접근)
리포트 > 사회과학    9페이지 
교육사회학 연구방법론(교육사회학의 양적-질적 접근)에 대한 레포트 자료 교육사회학 연구방법론(교육사회학의 양적-질적 접근) 목차 교육사회학 연구방법론 I. 양적-질적 접근방법론 1. 교육사회학의 ..
개념, 정의, 문제점, 해결방안, 설명, 분석
논리회로 실험 - 555 타이머를 이용한 10진 업카운터 설계
리포트 > 공학/기술    28페이지 
555 타이머를 이용한 10진 업 카운터 설계 555 타이머 BCD-to-7세그먼트 디코더 7-세그먼트 업 카운터 클럭 BCD 코드 7-세그먼트 각각에 대응 555 타이머란 비안정 멀티바이브레이터를 형성할 수있는 IC소자 단..
논리와 비판적 사고 - 성범죄자 신상공개 관련 찬반 자료
리포트 > 사회과학    5페이지 
성범죄자 신상공개, 약이 아니라 독. 목 차 1. 들어가며 2. 우리나라 신상공개 제도 실태와 외국의 사례 3. 찬성 여론의 입장 4. 성범죄자 신상공개 반대 이유 5. 나가며 1. 들어가며 현대 사회에서 성범죄는 ..
한국경제의 위기와 위기론
리포트 > 경영/경제    18페이지 
한국경제의 위기와 위기론 김성구(한신대 국제경제학과) 1. 경제위기와 위기론 경제학에서 공황 또는 위기라는 현상은 이제 적어도 두가지 차원에서 이해하는 것이 일반화되었다. {{ ) 여기서 경제학은 정치..
경영, 경제
  이전    다음