GATE 논리회로
1. 논리회로(Logic Circuit)
― 2진 정보를 기반으로 AND, OR, NOT 등과 같은 논리 연산에 따라 동작을 수행하는
논리소자들을 사용하여 구성된 전자회로.
2. 논리회로의 분류
1) 조합논리회로(Combinational logic circuit)
회로의 출력 값이 입력 값에 의해서만 정해지는 논리회로로서 기억능력이 없다. (반가산기, 전가산기, 디코더, 엔코더, 멀티플렉서, 디멀티플렉서)
2) 순서논리회로(Sequential logic circuit)
회로의 출력 값이 내부상태와 입력에 따라 정해지는 논리회로로서 기억능력이 있다. (카운터 회로) 속도는 빠르나 복잡한 구조로 고장발생시 보수가 어렵다.
3. 논리 GATE
(1) OR Gate
OR Gate는 일반적으로 더하기 라고 생각 하시면 간단하게 이해 할 수 있다.
그러나 우리가 일반적으로 아는 더하기는 1+1=2 라는 답을 얻을 수 있다. 하지만 논리식에서의 더하기는 1+1=1 이라는 결과 값이 나오게 된다. 이것만 생각한다면 그리 어렵지 않다.
논리 기호
[진리표]
입력
출력
ABY000011101111
논리식 : A+B
(2) AND Gate
AND Gate 는 일반적으로 곱하기라고 생각하시면 된다. 1×1=1, 1×0=0 이러한 결과값이 나오게 된다.
논리기호
[진리표]
입력
출력
ABY000010100111
논리식 : A×B
(3) NOT Gate
NOT게이트, 즉 부정게이트이다. 이걸 통과한 값은 반전이 된다. 여기서 반전이란, 컴퓨터는 참을 0이 아닌 모든 수, 거짓을 0이라고 한다. 이때 이 게이트를 통과하게 되면 값이 1은 0으로 0은 1로 반전되어 나오게 된다.
논리기호
[진리표]
입력
출력
AY0110
논리식 :
....
전자공학 논리회로 실험 - Logic 연산과 Gates Logic 연산과 Gates
1. Diode logic 연산
☞ 학습목표
AND, OR diode circuit 의 특성을 diode logic 연산으로 알아보자.
☞ 실험
1. AND gate
AB
A·B
5V
5V
5.15V
5V
0V
0.64V
0V
5V
0.64V
0V
0V
0.64V
2..
논리회로 - 부울대수, 논리게이트, 카르노맵에 관해 Boolean AlgebraLogic Gate Karnaugh Map
목 차
부울대수(Boolean Algebra)
논리게이트(Logic Gate)
카르노맵(Karnaugh Map)
2변수, 3변수, 4변수 카르노맵
간소화 방법
문제 풀이
부울 대수(Boolean Algebra)
185..
[디지털논리회로] 4비트 덧셈뺄셈기 회로 구현 기초부터 응용까지 Verilog HDL
- 다음은 4비트 덧셈 뺄셈기의 논리 회로 이다.
1. 위의 논리 회로를 Gate level modeling 방법을 사용하여 Verilog 코드를 코딩하시오.
Gate level modeling
module Add_Subtra..
[디지털논리회로] TTL[Transistor Transistor Logic]에 대해서 TTL(Transistor Transistor Logic)
디지털논리회로
TTL(Transistor-Transistor logic)소자는 디지털회로에서 사용되는 각종 논리용 소자 중에서, 입력을 트랜지스터로 받아들이고, 출력 또한 트랜지스터인 소자를 ..
정보통신 실습 - AND_OR_NOT 게이트 실험(결과 보고서) ANDORNOT 게이트 실험
1. 실험 목적
▣ 논리 게이트인 AND, OR, NOT 게이트의 동작특성을 이해한다.
▣ AND, OR, NOT 게이트의 진리표와 논리식을 실험을 통해 확인한다.
2. 실험 결과
1 : (+), 0 : (-)
표 1 AND..
논리회로 실험 - IC패키지 실험 1. 실험 목적
AND Gate, OR Gate, NAND Gate, NOR Gate의 IC패키지 코드를 알아내고 이에 LED를 연결하여 입력에 따른 출력을 알아본다.
2. 자료 조사
A, B
X
0, 0
0
0, 1
0
1, 0
0
1, 1
1
AND Gate 또는 2-I..
[논리회로실험] 멀티플렉서 디멀티플렉서 Unit 4. 멀티플렉서 디멀티플렉서
실험의 의의
Bread Board를 이용한 회로를 작성하는 것 과 기계를 만지는데 좀 더 익숙해질 수 있었다.
Logic gate 의 멀티플렉서와 디멀티플렉서를 구성할 수 있다.
실험..
[디지털 공학] 15 D 래치 및 D 플립-플롭 예비, 결과보고서 15 D 래치 및 D 플립-플롭
■ 실험목표
이 실험에서는 다음 사항들에 대한 능력을 습득한다.
●래치로 SPDT 스위치의 되튐에 의한 영향을 제거하는 방법에 대한 입증
●NAND 게이트와 인버터를 이용한 게이트를 D 래..
논리게이트 - VHDL 설계 언어 실습 ◆ AND GATE(2 input)
1. 소스
library ieee;
use ieee.std_logic_1164.all;
entity andgate is
port(
sw1 : in std_logic;
sw2 : in std_logic;
led : out std_logic);
end andgate;
architecture sample ..