로고
(검색결과 약 1,156개)
Steam Boiler Process Flow Diagram_보간법.xls
정보/기술 > 설계/사양서   
Steam Boiler Process Flow Diagram_보간법.xls
Steam Boiler Process Flow Diagram_보간법.xls
계장설계_지침서.pdf
정보/기술 > 토목/건축    32페이지 
계장 상세설계 엔지니어링 계장 상세설계 엔지니어링 1. 개요 계측제어 분야 상세 설계란 신규 Plant 의 건설 또는 기존 Plant 의 시설개선등에 필요한 계측제어설비의 구매 및 건설에 관련된 문서,도면을 작성하..
계장설계_지침서.pdf
증기발생기 제조업의 시장동향
비지니스 > 경제동향    3페이지 
증기발생기, 제조업, 연도별, 사업체수, 월평균종사자수, 연간급여액, 출하액, 생산액, 부가가치, 유형고정자산 연말잔액, 주요생산비, 그래프, 연간자료, 제조업시장동향, 시장, 동향, 년간, 년간동향, Manufact..
증기발생기, 제조업, 연도별, 사업체수, 월평균종사자수, 연간급여액, 출하액, 생산액, 부가가치, 유형고정자산 연말잔액, 주요생산비, 그래프, 연간자료, 제조업시장동향, 시장, 동향, 년간, 년간동향, Manufacture of Steam Generating Boilers
[소분류]국내 핵반응기 및 증기발생기 제조업의 산업동향(2003년부터 2005년 까지, PDF)
비지니스 > 경제동향    8페이지 
본 컨텐츠는 시장조사, 수요예측 전문업체인 ㈜밸류애드에서 핵반응기 및 증기발생기 제조업에 대한 시장동향 정보입니다. 작성일자를 반드시 확인하시고, 최근에 작성된 정보를 구매하시기 바랍니다. 본 컨..
냉동장치 및 캐스케이드에 관해
리포트 > 공학/기술    10페이지 
구분 파이프(PIPE) 튜브(TUBE) 용도 물체는 수송하는 것이 목적 관의 내.외면에서 열교환 하는 것이 목적 예 가스관 (gas pipe) 급수관 (water pipe) 증기관 (steam pipe) 슬러리관 (slurry pipe) 보일러 튜브 (bo..
공정제어_Project
리포트 > 공학/기술    15페이지 
Process Control Project Tune the PI and the PID controllers for one of the following flash distillation processes following the procedure below. If you want, you can modify the process to make it ..
[영상처리 기법] 기하학 처리
리포트 > 공학/기술    14페이지 
기하학적 처리 [목차] 영상처리 기법들 기하학적 처리(Geometric process) 사상(mapping) 보간법(Interpolation) 영상 스케일링(Scaling) 영상의 회전(Rotation) 영상의 이동(Translation) 미러링(Mirroring) ..
배관설계 일반
정보/기술 > 토목/건축    33페이지 
목목 차차 1. 배관설계 일반 (1) 배관 범위 (2) 설계분야 (3) 배관설계 FLOW DIAGRAM (4) 배관설계 기준 2. 주배관설계 (1) 지역구분 (2) 차단밸브 설치 간격 (3) 배관두께 계산 (4) 배관응력해석 (5) ..
사회복지 조직에서의 총체적 품질관리 TQM이란 무엇이고 도입을 어렵게 하는 한계는 어떤 것이 있는지를 설명하시오
리포트 > 사회과학    2페이지 
사회복지 조직에서의 총체적 품질관리 TQM이란 무엇이고 도입을 어렵게 하는 한계는 어떤 것이 있는지를 설명하시오에 대한 레포트 자료. 사회복지 조직에서의 총체적 품질관리 TQM이란 무엇이고 도입을 어렵게 하..
개념, 정의, 특징, 과제
[건축학] 렘쿨하스 (Rem Koolhass)의 이론과 작품
리포트 > 공학/기술    50페이지 
Rem Koolhass Presentation by name In their Citation, the Pritzker Prize Jury described Rem Koolhaas as a visionary and a philosopher. Critics have argued that Koolhaas ignores all consideration for ..
실험보고서 - 관마찰계수 측정실험
리포트 > 자연과학    5페이지 
1.실험 제목 : 관마찰계수 측정실험 2.실험목적 유체가 관내를 흐르 때 유체 점성에 의한 관마찰로 인하여 에너지손실이 발생한다. 본 실험에서는 직선원관 내에서의 마찰손실을 측정해보고 관마찰에 의한 에너지..
VHDL 설계 언어 실습(문법적용)
리포트 > 공학/기술    26페이지 
◆ logic1 1.소스 library ieee; use ieee.std_logic_1164.all; entity logic1 is port(a,b,c :in bit; y :out bit); end logic1; architecture sample of logic1 is signal w, x : bit; begin no1: proces..
GS홈쇼핑,기업분석,브랜드마케팅,서비스마케팅,글로벌경영,사례분석,swot,stp,4p
리포트 > 경영/경제    26페이지 
GS홈쇼핑 Analysis CONTENTS A -1.2.3 B -1.2.3 CD E -1.2.3 F -1.2 G H-1.2 I -1.2 J K-1.2 LMN GS홈쇼핑 E-business Model 적용 GS홈쇼핑 Web디자인 및 레이아웃 GS홈쇼핑 Web 기능구조도표 GS홈쇼핑 Process Di..
[경영학] 수치보간법을 이용한 미래 데이터 예측
리포트 > 경영/경제    2페이지 
수치보간법을 이용한 미래 데이터 예측 ∎서론 과제물3에서 주어진 01년~09년의 연간 평균 주행연비를 이용하여 수치보간법을 이용하여 그 이후의 연간 평균주행연비를 예상한다. ∎이론 수치보간법에는 2가지 방..
힐튼호텔 호텔경영사례분석및 힐튼 마케팅전략 분석
리포트 > 경영/경제    28페이지 
1. 힐튼 기업 소개 - 80여년 전 Conrad Hilton 이 설립 1. 힐튼 기업 소개 Hilton이라는 이름자체가 하나의 상징어로 사용 강력한 브랜드 파워의 기업 1. 힐튼 기업 소개 이 시각 약 500,000개의 객실을 가동 중 ..
  다음