로고
(검색결과 약 1,471개)
3상 사이리스터 정류기/인버터
리포트 > 공학/기술    10페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 3상 사이리스터 정류기/인버터에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 ..
3상 사이리스터 정류기 인버터, 3상 3펄스 정류기, 정류기와 인버터 모드
사이리스터 단상브리지 정류기/인버터
리포트 > 공학/기술    14페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 사이리스터 단상브리지 정류기/인버터에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 ..
사이리스터 단상브리지, 사이리스터 단상브리지 정류기/인버터, 정류기와 인버터 모드
쵸퍼/인버터 제어장치의 사용법 (인버터모드)
리포트 > 공학/기술    10페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 쵸퍼/인버터 제어장치의 사용법 (인버터모드)에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 ..
쵸퍼/인버터 제어장치의 사용법 (인버터모드), 180° -변조 3상 인버터 MODE(3 ~ 180°), 120°-변조 3상 인버터 MODE( 3~120°), 제어 출력(CONTROL OUTPUTS)부, 직류전원 1 (DC SOURCE 1)과 직류전원 2 (DC SOURCE 2), PWM-제어 쵸퍼 모드(CHOP.PWM)
쵸퍼/ 인버터 제어장치의 사용법(쵸퍼모드)
리포트 > 공학/기술    7페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 쵸퍼/ 인버터 제어장치의 사용법(쵸퍼모드)에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목..
쵸퍼/ 인버터 제어장치의 사용법(쵸퍼모드), 모드(MODE)선택부, 제어 입력(CONTROL INPUTS)부, 제어 출력(CONTROL OUTPUTS)부, 직류전원 1 (DC SOURCE 1)과 직류전원 2 (DC SOURCE 2), PWM-제어 쵸퍼 모드(CHOP.PWM)
전자공학 - 다이오드 특성 및 정류 회로 실험
리포트 > 자연과학    4페이지 
다이오드 특성 및 정류 회로 1.목적 (1) 접합 다이오드의 전류에 대한 바이어스 효과를 측정한다. (2) 전류-전압 특성을 실험적으로 결정하고 그린다. (3) 저항계로 접합 다이오드를 측정한다. (4) 정류기의 출..
전기전자 - 전류계의 분류기, 전압계의 배율기에 대해
리포트 > 자연과학    3페이지 
전류계의 분류기 / 전압계의 배율기 □ 직류 및 교류 지시계기 먼저 전류계의 분류기 및 전압계의 배율기를 설명하기 앞서 지시계기에 대해 알아보겠다. 지시계기란 측정하고자 하는 전기적 양을 기계적 힘으로 변..
일반물리학 실험 - 중력가속도 결과 보고서
리포트 > 자연과학    4페이지 
1. 실험방법 낙하상자와 스마트 계시기, 제어상자, 공받게를 연결하고 지지대에 공이 낙하 할 거리 h를 정한다. 스마트 계시기를 켜고 TIME - TWO GATES모드를 선택한다. 스마트 계시기와 연결한 공받게를 공이..
물리 - coupled[double] pendulum 실험보고서
리포트 > 자연과학    8페이지 
1. 개요 Double pendulum의 고유진동수를 구하고 양쪽 진자를 초기위치에 따른 움직임을 관찰과 두 고유진동수가 비슷해질때 beat mode의 움직임을 확인하기. 2. 이론적 고찰 pendulum의 equation of motion 을 ..
단상 다이오드 정류기(2)
리포트 > 공학/기술    8페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 단상 다이오드 정류기(2)에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 ..
단상 다이오드 정류기, 단상 브리지정류기, 2상 반파정류기, Two-phase half-wave rectifier
전기전자 실험 - 브리지정류회로 결과 보고서
리포트 > 공학/기술    5페이지 
◉실습목적 -정류다이오드를 이용하여 브리지 정류회로를 구성하고 다이오드 입출력 전압을 비교하여 다이오드의 특성을 파악하고, 정류회로의 기능을 이해한다. 또한, 브리지 정류회로의 장단점을 확인 하여 본다..
단상 다이오드 정류기(1)
리포트 > 공학/기술    10페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 단상 다이오드 정류기(1)에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 ..
다이오드 동작특성, 전력다이오드 특성곡선, 반파정류기, 환류다이오드를 갖는 정류기
국내 정류기의 시장현황[2005년까지:PDF]
비지니스 > 경제동향    4페이지 
본 컨텐츠는 시장조사, 수요예측 전문업체인 ㈜밸류애드에서 국내 정류기에 대한 시장현황 정보입니다. 작성일자를 반드시 확인하시고, 최근에 작성된 정보를 구매하시기 바랍니다. 본 컨텐츠에서는 국내 20..
[전자회로실험] 다이오드의 반파, 전파 및 브리지 정류
리포트 > 공학/기술    8페이지 
다이오드의 반파, 전파 및 브리지 정류 □ 실험목적 (1) 반파 정류 회로의 출력 파형을 관찰하고 측정한다. (2) 전파 정류 회로의 출력 파형을 관찰하고 측정한다. (3) 브리지 정류 회로의 출력 파형을 관찰하고..
전자회로 실험 - 반파정류기와 전파정류기의 출력파형을 관찰하고 측정
리포트 > 자연과학    4페이지 
◈ 실험목적 1. 반파정류기의 출력파형을 관찰하고 측정한다. 2. 전파정류기의 출력파형을 관찰하고 측정한다. ◈ 실험재료 오실로스코프 저항 : 1k 1/2W 전원변압기 : 110V/6.3V, 12.6V 실리콘다이오드 : 1N5625*4..
반파 전파 정류 회로
리포트 > 자연과학    8페이지 
반파 전파 정류 회로 실험 주제 : 반파 전파 정류 회로 실험 목적 : 다이오드를 이용해 만든 반파 및 전파 정류회로의 특성을 공부한다. 실험 이론 (1) 정류회로 교류를 직류로 하기 위한 회로. 한쪽 방..
  다음