로고
(검색결과 약 5,849개)
3 사이리스터 정류기/인버터
리포트 > 공학/기술    10페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 3 사이리스터 정류기/인버터에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 ..
3상 사이리스터 정류기 인버터, 3상 3펄스 정류기, 정류기와 인버터 모드
다이오드 3 정류기
리포트 > 공학/기술    10페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 다이오드 3 정류기에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. ..
3상3펄스정류기, 3상6펄스정류기
AC 위제어
리포트 > 공학/기술    13페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 AC 위제어에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 전파..
AC 위상제어, 전파위상제어(Full-wave Phase Control), 반파 위상제어, 수동부하(Passive Load)에 공급하는 제어 정류기
다이오드 정류기(2)
리포트 > 공학/기술    8페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 단 다이오드 정류기(2)에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 ..
단상 다이오드 정류기, 단상 브리지정류기, 2상 반파정류기, Two-phase half-wave rectifier
쵸퍼/인버터 제어장치의 사용법 (인버터모드)
리포트 > 공학/기술    10페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 쵸퍼/인버터 제어장치의 사용법 (인버터모드)에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 ..
쵸퍼/인버터 제어장치의 사용법 (인버터모드), 180° -변조 3상 인버터 MODE(3 ~ 180°), 120°-변조 3상 인버터 MODE( 3~120°), 제어 출력(CONTROL OUTPUTS)부, 직류전원 1 (DC SOURCE 1)과 직류전원 2 (DC SOURCE 2), PWM-제어 쵸퍼 모드(CHOP.PWM)
사이리스터 단브리지 정류기/인버터
리포트 > 공학/기술    14페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 사이리스터 단브리지 정류기/인버터에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 ..
사이리스터 단상브리지, 사이리스터 단상브리지 정류기/인버터, 정류기와 인버터 모드
무선설비산업기사 과년도 필기기출문제(2000년10월1일)
시험/자격증 > 기술/공학    4페이지 
2000년 10월 1일 필기 기출문제 제6회 국가기술검정시험 무선설비산업기사 (시행 : 2000년 10월 1일) 제 1과목 : 디지털 전자회로 1. 입력 진폭 변조파의 전압의 크기에 따라 직선검파 또는 자승검파를 옳게..
다이오드 정류기(1)
리포트 > 공학/기술    10페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 단 다이오드 정류기(1)에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 ..
다이오드 동작특성, 전력다이오드 특성곡선, 반파정류기, 환류다이오드를 갖는 정류기
국내 정류기의 시장현황[2005년까지:PDF]
비지니스 > 경제동향    4페이지 
본 컨텐츠는 시장조사, 수요예측 전문업체인 ㈜밸류애드에서 국내 정류기에 대한 시장현황 정보입니다. 작성일자를 반드시 확인하시고, 최근에 작성된 정보를 구매하시기 바랍니다. 본 컨텐츠에서는 국내 20..
전자회로 실험 - 반파정류기와 전파정류기의 출력파형을 관찰하고 측정
리포트 > 자연과학    4페이지 
◈ 실험목적 1. 반파정류기의 출력파형을 관찰하고 측정한다. 2. 전파정류기의 출력파형을 관찰하고 측정한다. ◈ 실험재료 오실로스코프 저항 : 1k 1/2W 전원변압기 : 110V/6.3V, 12.6V 실리콘다이오드 : 1N5625*4..
국내 정류기 시장통계(2008년까지)
비지니스 > 경제동향    11페이지 
국내 정류기 시장통계(2008년까지) 자료 입니다.(사업체수, 생산액, 출하액 포함) * 시장통계 목차 * 1. 산업 동향 가. 조사범위 나. 제조업 동향(2008년만) 다. 위 산업통계(2006년부터 2008년까지) 2..
품목의 시장통계, 사업체수, 생산액(백만원), 출하액(백만원), valueadd, ㈜밸류애드
[전자회로 실험] 정류기 설계(Regulator 설계)
리포트 > 공학/기술    3페이지 
실험명 Regulator 설계 1. 실험 목적 다이오드의 여러 가지 특성을 이용하여 정현파(sine wave)를 7~10V DC전압으로 만들려고 한다. 다음과정에 의해 과제를 수행해 보시오. 2. 실험 방법 (1) Full Wave Rec..
전자회로실험 - 다이오드 정류회로
리포트 > 자연과학    20페이지 
전 자 회 로 실 험 다이오드 정류회로 이 실험의 목적은 세 가지 다른 형태의 다이오드 정류회로, 즉 반파정류기, 중간탭 변압기를 사용한 전파정류기, 브리지형 전파정류기의 특성을 관찰하는 것이다. 목적 ..
Diode 특성 곡선 및 LED 구동
리포트 > 공학/기술    5페이지 
Diode 특성 곡선 및 LED 구동 ⒈ 목적 다이오드의 극성에 대해 알아보고 특성곡선을 이해하고 이것에 대한 실험을 하여 알아본다. 2. 이론 반도체의 기본적인 요소. 단자의 한쪽 방향을 애노드(양극), 다른 한쪽 ..
광전자 소자
리포트 > 자연과학    8페이지 
광전자 소자 광전자 소자 1. 실험 목적 - 적색과 녹색 LED의 특성을 측정한다. - 7세그먼트로 숫자를 나타낸다. - 광커플러(optocoupler)를 통해 신호를 전달한다. 2. 관련이론 발광 다이오드 (a) 순방향..
  다음