로고
(검색결과 약 5,787개)
다이오드 정류기(2)
리포트 > 공학/기술    8페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 단 다이오드 정류기(2)에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 ..
단상 다이오드 정류기, 단상 브리지정류기, 2상 반파정류기, Two-phase half-wave rectifier
전자회로 실험 - 반파정류기와 전파정류기의 출력파형을 관찰하고 측정
리포트 > 자연과학    4페이지 
◈ 실험목적 1. 반파정류기의 출력파형을 관찰하고 측정한다. 2. 전파정류기의 출력파형을 관찰하고 측정한다. ◈ 실험재료 오실로스코프 저항 : 1k 1/2W 전원변압기 : 110V/6.3V, 12.6V 실리콘다이오드 : 1N5625*4..
다이오드 정류기(1)
리포트 > 공학/기술    10페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 단 다이오드 정류기(1)에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 ..
다이오드 동작특성, 전력다이오드 특성곡선, 반파정류기, 환류다이오드를 갖는 정류기
[전자회로실험] 다이오드의 반파, 전파 및 브리지 정류
리포트 > 공학/기술    8페이지 
다이오드의 반파, 전파 및 브리지 정류 □ 실험목적 (1) 반파 정류 회로의 출력 파형을 관찰하고 측정한다. (2) 전파 정류 회로의 출력 파형을 관찰하고 측정한다. (3) 브리지 정류 회로의 출력 파형을 관찰하고..
반파 전파 정류 회로
리포트 > 자연과학    8페이지 
반파 전파 정류 회로 실험 주제 : 반파 전파 정류 회로 실험 목적 : 다이오드를 이용해 만든 반파 및 전파 정류회로의 특성을 공부한다. 실험 이론 (1) 정류회로 교류를 직류로 하기 위한 회로. 한쪽 방..
전기전자 실험 - 브리지정류회로 결과 보고서
리포트 > 공학/기술    5페이지 
◉실습목적 -정류다이오드를 이용하여 브리지 정류회로를 구성하고 다이오드 입출력 전압을 비교하여 다이오드의 특성을 파악하고, 정류회로의 기능을 이해한다. 또한, 브리지 정류회로의 장단점을 확인 하여 본다..
전자회로실험 - 다이오드 정류회로
리포트 > 자연과학    20페이지 
전 자 회 로 실 험 다이오드 정류회로 이 실험의 목적은 세 가지 다른 형태의 다이오드 정류회로, 즉 반파정류기, 중간탭 변압기를 사용한 전파정류기, 브리지형 전파정류기의 특성을 관찰하는 것이다. 목적 ..
AC 위제어
리포트 > 공학/기술    13페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 AC 위제어에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 전파..
AC 위상제어, 전파위상제어(Full-wave Phase Control), 반파 위상제어, 수동부하(Passive Load)에 공급하는 제어 정류기
전자공학 - 다이오드 특성 및 정류 회로 실험
리포트 > 자연과학    4페이지 
다이오드 특성 및 정류 회로 1.목적 (1) 접합 다이오드의 전류에 대한 바이어스 효과를 측정한다. (2) 전류-전압 특성을 실험적으로 결정하고 그린다. (3) 저항계로 접합 다이오드를 측정한다. (4) 정류기의 출..
[회로 설계] DC power supply 설계
리포트 > 공학/기술    7페이지 
DC power supply 설계 목차 1. DC power supply 설계 (1) 반파정류기 동작원리 해석 (2) 리플의 정의와 리플 크기값 유도 (3) 전파정류기 동작원리 해석 (4) 제너다이오드 설명 (5) simulation을 이용한 설..
[전자회로실험] 1. 기본 OP앰프 응용회로, 2. 비선형 OP앰프 응용회로
리포트 > 공학/기술    9페이지 
[전자회로실험] 1. 기본 OP앰프 응용회로, 2. 비선형 OP앰프 응용회로 1. 제목 1) 기본 OP앰프 응용회로 (1) 실험 1. 부임피던스 회로 (2) 실험 2. 종속전류 발생기 (3) 실험 3. 전류-전압 변환기 2) 비선..
3 사이리스터 정류기/인버터
리포트 > 공학/기술    10페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 3 사이리스터 정류기/인버터에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 ..
3상 사이리스터 정류기 인버터, 3상 3펄스 정류기, 정류기와 인버터 모드
다이오드 3 정류기
리포트 > 공학/기술    10페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 다이오드 3 정류기에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. ..
3상3펄스정류기, 3상6펄스정류기
기초전자 실험 - 반파정류회로 실험
리포트 > 공학/기술    4페이지 
반파정류회로 실험 1. 실험 목적 1) 다이오드 반파정류회로의 동작을 이해한다. 2) 반파정류회로의 입/출력 파형에 있어서 다이오드 장벽전위의 영향에 따른 형태와 첨두전압의 변화를 관찰v 한다. 2. 실험 기기..
전자회로실험 - 반파 및 전파 정류 회로
리포트 > 공학/기술    7페이지 
전자회로실험 - 반파 및 전파 정류 회로 목 차 1. 목적 2. 이론 3. 사용기기 및 재료 4. 실험방법 5. 고찰 및 평가 1.목적 1) 다이오드를 사용한 반파 및 전파 정류 회로의 작용을 이해한다. 2) 반파 및 전파 ..
  다음