리포트 > 공학/기술 12페이지
1. 개발환경
- OS : windows
- Language : C++( Microsoft visual C++)
2. 프로그램 설명 및 기능 설명
-본 프로그램은 쓰레드를 이용한 소켓 채팅 프로그램이다.
서버와 프로그램 두 개로 나누어져 있으며 ..
리포트 > 공학/기술 10페이지
☉스탑워치 VHDL 설계☉
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stop is
PORT(
CLK : in std_logic;
SW_A : in std_logic;
SW_..
리포트 > 공학/기술 47페이지
최종 프로젝트
Digital Clock 설계
[목 차]
1. 시계 블록도(1page)
2. VHDL 소스 설명(2~42page)
① easy_clock.vhd
② clock.vhd
③ stopwatch.vhd
④ setclock.vhd
⑤ setalarm.vhd
⑥ alarm_dot.vhd
⑥ seven..
리포트 > 인문/어학 140페이지
사도행전의 바울
연세대학교 대학원
신 학 과
김 학 철
목 차
약어표․․․
ⅱ
국문요약 ․․․
iv
제 1 장 서 론 ․․․
제 1 절 문제 제기 및 연구 목적․․․
제 2 절 연구 방법 및 연구 범위․․․
제 3 절 연구사 및 연..
리포트 > 공학/기술 8페이지
나만의 error code 만들기
1. Error Code
2. DLL 제작
3. 01 ErrorShow 프로젝트에 DLL 끼워 넣기
4. 실행 화면
그림 -winerror.h
1. Error Code
위의 그림은 winerror.h의 내용을 일부 발취한 것입니다. 29..
비지니스 > 사업계획서 12페이지
VRRS 시스템 개발 세부계획서 - 사이버 참고정보원 시스템 구축-
The2nd 제안요청에 대한 이해
1.사업목표
사업추진목표
The2nd 제안요청에 대한 이해
1.사업목표
제안의 배경 및 목적
The2nd 제안요청에 대한 ..
리포트 > 공학/기술 6페이지
디지털 회로설계
1. 제목 : 4-Bit D Flip Flop 설계
2. 개요 :
1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate..
리포트 > 공학/기술 88페이지
1
제 1 장 C 언어의 시작 : 기초
C 언어의 역사
1972년 미국 Bell 연구소에서 Denis Richie에 의해 개발
ALGOL60 CPL BCPL B C 언어로 개발
C 언어의 특징
다양한 연산자
명쾌한 구조
함수에 의한 구성
표준..
리포트 > 공학/기술 9페이지
디지털 시계 최종 보고서
목차
1. 연구 소개
2. 개발 내용
3. 개발 일정
4. 세부 동작
5. 문제점 및 발전 방향
첨부 : Source 파일
1. 연구 소개
- 디지털 논리 회로를 이용하여 디지털 시계를 구현
2...
정보/기술 > 강의/교재 39페이지
플래시는 벡터드로잉(Vector Drawing)을 기반으로 한웹 에니메이션 저작도구입니다.
플래시가 가지는 벡터드로잉은 비트맵과는 달리 선과 면의 내부에 채워진 정보를 저장하여 이미지를 확대해도 이미지에 대..
서식 > 계약서 9페이지
(주) 세종정보기술
(우)137064 서울시 서초구 방배4동 81834 희성빌딩5층 Tel(02)5944707
Fax(02)5944708
문서번호 : SJDL99121
일자: 1999. 12. 2.
수신: 한국중국소설학회
제목: 학회지 DB구축 판권계약요청 ..
서식 > 계약서 5페이지
(주) 세종정보기술
(우)137064 서울시 서초구 방배4동 81834 희성빌딩5층 Tel(02)5944707
Fax(02)5944708
문서번호 : SJDL99121
일자: 1999. 12. 2.
수신: 한국중국소설학회
제목: 학회지 DB구축 판권계약요청 ..
서식 > 계약서 7페이지
(주) 세종정보기술
(우)137-064 서울시 서초구 방배4동 818-34 희성빌딩5층 Tel(02)594-4707 Fax(02)594-4708
문서번호 : SJ-DL9912-1
일자: 1999. 12. 2.
수신: 한국중국소설학회
제목: 학회지 DB구축 판권계약..
리포트 > 사회과학 17페이지
중국 대학도서관 가상참고서가의 구축현황과 분석*
On the virtual reference shelves of
Chinese university libraries
[목차]
Ⅰ. 서론
1.1 연구목적 및 필요성
1.2 연구의 방법 및 제한
1.3 선행연구
Ⅱ. 이론..
비지니스 > 사업계획서 15페이지
’WDL Campus’ 구축 프로젝트
(WDL : Wireless Digital Library)
목차
1. 사업 개요 및 내용
1.1 사업배경
1.2 사업개요
2. WDL Campus 구성
2.1 시스템 구성
2.2 시스템 내부구성
3. WDL 서비스 맵
3.1 WDL ..