리포트 > 공학/기술 9페이지
목 차
1. 설계 주제
2. 설계 목적
3. 설계 내용
4. 차동 증폭기란
5. 이론을 사용한 설계
(1) 설계회로
(2) 설계수식
1) 수식
2) 수식
3) 공통모드 이득계산
4) 차동모드 이득계산
5) CMRR 수식
6) M..
리포트 > 공학/기술 9페이지
[전자회로실험] Orcad 실험
1. 실험제목
1) Orcad 실험
2. 목적
1) Orcad를 이용하여 회로도를 그리고 시뮬레이션 하는 방법을 익힌다.
2) 시뮬레이션의 종류가 여러 개 있음을 알고, 각 종류마다의 사용법을 ..
리포트 > 경영/경제 3페이지
[사회복지 정책분석] 계량분석과 질적 분석
계량분석(quantitative analysis)은 수학적 모형(mathmetical model)을 의미하며, 정책분석의 도구로 사용할 경우 분석모형(analytic model)과 시뮬레이션 모형(simul..
리포트 > 자연과학 1페이지
제 목 : 혜성을 타고 온 생명의 씨앗
혜성이 고속으로 지구와 충돌하는 시뮬레이션을 통해 과학자들은 혜성에 포함되었던 유기물 분자들이 충격에 살아남을 수 있으며 지구의 생명체의 근원이 될 수 있음을 보..
리포트 > 공학/기술 3페이지
디지털 시스템 설계 및 실험 결과보고서
실험제목
①4bit Adder Subtractor
실험목표
①Half adder 와 Full-adder를 구성한다.
②Half adder 와 Full-adder를 이용하여 4bit Adder Subtractor를 코딩한다.
실험결과
..
리포트 > 경영/경제 4페이지
마코브 분석과 대기행렬이론
목차
마코브 분석과 대기행렬이론
I. 마코브 분석
1. 마코브 분석의 의의
2. 마코브 분석의 특성
II. 대기행렬이론
1. 대기행렬이론의 의의
2. 대기행렬이론의 적용분..
리포트 > 공학/기술 7페이지
제어공학실험 - 2차 지연요소
1. 실험목적
1차 지연요소를 직렬로 연결한 2차 지연요소의 특성을 해석하고 관측한다.
2. 실험회로
그림
3. 실험순서 및 결과
실험 3.1
그림 13.5 의 실험회로를 구성하라
..
리포트 > 공학/기술 6페이지
논리회로 실습보고서 - 기본 논리 게이트
7404 IC 핀 배치도를 참조하여 6개의 게이트 중 1개를 선정하여 그림의 NOT 게이트 회로를 구성한다. 7404의 7번 핀은 접지하고, 14번 핀은 +5V의 전압을 인가한다. 1번..
리포트 > 공학/기술 124페이지
Pspice 기초와 활용 Ver 14.2
PSpice 란
Spice (Simulation Program with Integrated Circuit Emphasis)
1972년 미국 버클리대학에서 개발한 전기, 전자 및 디지털 회로 시뮬레이션 프로그램
1984년 MicroSim사..
리포트 > 경영/경제 13페이지
반려동물 전용제품 판매업체
사업계획서
1. 사업개요
(1) 창업기업소개
(2) 사업아이템 소개
(3) 수익구조
2. 반려동물제품시장분석 및 사업전략
(1) 시장분석 (규모 및 전망)
(2) 기업전략
(3) 마케팅 전략및_..
리포트 > 공학/기술 7페이지
디지털 시스템 설계 및 실험 결과보고서
실험제목
Decorder, Encoder, Multiplexer
실험목표
Decoder : 2-to-4 line decoder 구현
2-to-4 line decoder를 이용하여 3-to-8 구현
3-to-8 2개 이용하여 4-to-16 구..
서식 > 자기소개서 6페이지
하지만 소자를 제작할 때마다 발광률이 일정하지 않은 문제를 발견했습니다.
비록 프로젝트의 목표에서 벗어나는 문제였지만, 조원들과 함께 개선해보기로 했습니다.
일주일 동안 매일 만나서 계속 진행했지만 문..
문제, 생각, 장비, 경험, 해결, 이다, 공정, 진행, 시뮬레이션, 새롭다, 증착, 프로젝트, 위해, 결과, 분석, 이용, 씩, 값, 대한, 보다
리포트 > 자연과학 24페이지
1.과제명: PN접합
2.이론: PN Diode 순방향과 역방향의 특성
P형과 N형 반도체가 서로 맞닿아 있는 부분을 PN접합 이라하고, PN접합으로 이루어진 소자를 PN접합 diode라 한다.
3.실험
실험① 그림 3-3의 회로..
리포트 > 공학/기술 26페이지
◆ AND GATE(2 input)
1. 소스
library ieee;
use ieee.std_logic_1164.all;
entity andgate is
port(
sw1 : in std_logic;
sw2 : in std_logic;
led : out std_logic);
end andgate;
architecture sample ..
리포트 > 교육학 31페이지
유아교육기관의 안전교육과 안전관리
목 차
Ⅰ. 서론
Ⅱ. 용어의 정의
1. 안전사고의 개념
2. 안전교육의 개념
Ⅲ. 이론적 배경
1. 어린이 사고의 심각성
2. 유아 안전교육의 목표
3. 유아의 안전교육 필..