로고
(검색결과 약 94개 중 2페이지)
P.L.C - Programmable Logic Controller에 대해서
리포트 > 공학/기술    17페이지 
P . L . C -Programmable Logic Controller 목 차 PLC의 정의 PLC 이전의 시퀀스 시스템과 PLC의 개선점 PLC와 컴퓨터 비교 PLC 활용 분야 PLC의 종류 (일체형 PLC) 2 P.L.C의 정의 PLC는 Programmable Logic ..
메카트로닉 - plc[Programmable Logic Controller]에 대해서
리포트 > 공학/기술    17페이지 
P . L . C -Programmable Logic Controller 목 차 PLC의 정의 PLC 이전의 시퀀스 시스템과 PLC의 개선점 PLC와 컴퓨터 비교 PLC 활용 분야 PLC의 종류 (일체형 PLC) 2 P.L.C의 정의 PLC는 Programmable Logic ..
사회 복지 조사론 - 논리 모델[Logic model]
리포트 > 생활/환경    8페이지 
사회 복지 조사론 - 논리 모델(Logic model)   프로그램 논리 모델은 목표와 결과 사이의 관계를 설명하는 도식이다. 이러한 프로그램의 논리 모델은 다음의 세 가지 면에서 유용하게 사용된다.   ① 다양한 프로그..
Putting Global Logic First(범세계적 논리를 우선시켜야)
리포트 > 인문/어학    2페이지 
Putting Global Logic First(범세계적 논리를 우선시켜야) Kenichi Ohmae(겐니치 오마에} 애덤스미스 시대에는 중앙국가(nation-state)의 정치적인 국경에 의해 주어지고 결정되는 환경하에서 경제활동이 이루어..
인문, 어학
abap 프로그래밍
정보/기술 > 메뉴얼    143페이지 
abap의 프로그램 내용과 DB활용기술 내용 1. DDic 관련 사항. 2. Report Program 3. 화면 구성요소 4. Module-pool Program. 5. Function module 6. Logical database. 7. 이것저것 8. 유용한 프로그램. .
abap, SAP, ERP, ABAP
정보통신 설계 - 집적 회로(Very Large Scale Integrated Circuit; VLSI)의 설계 과정
리포트 > 공학/기술    20페이지 
집적회로(VLSI)의 설계 과정 VLSI 설계 전반부 : Gate Level 설계 후반부 : Layout 데이터 생성 최근의 설계 전반부 : 동작적 설계(Behavioral Design) ==] Gate Level 설계 후반부 : Layout 데이터 생성 2.1 ..
종교, 논리, 그리고 수학(Religion, Logic, and Math)에 관해서
리포트 > 사회과학    5페이지 
[Religion, Logic, and Math] Abstract  종교는 논리가 설명하지 못하는 질문들에 대한 답을 주기 위해 발생했다. 또한, 논리는 그런 종교가 점차 체계를 지니고 그 역할을 수행할 수 있도록 방향을 제시해주었..
PLC의 분기별 시장동향
비지니스 > 경제동향    3페이지 
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능,..
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능, 프로그램기능제어, 프로그램제어기능기, 프로그램기능제어기
PLC의 월별 시장동향
비지니스 > 경제동향    4페이지 
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능,..
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능, 프로그램기능제어, 프로그램제어기능기, 프로그램기능제어기
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계
리포트 > 자연과학    13페이지 
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 1. 도어락의 개요 도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다. 2. 입력, 출력 및 상태..
디지털 공학 BCD adder Encoder
리포트 > 공학/기술    8페이지 
-목차- chapter1 Contents···003 chapter2 Logic gate···005 chapter3 Simulation···006 chapter4 Picture···006 chapter5 Etc···006 1. Contents 1) BCD Adder Binary Sum BCD Sum Decimal 000100..
복잡한 회로 설계 - [VHDL] 4비트 가산기 설계
리포트 > 공학/기술    6페이지 
DESIGN REPORT 복잡한 회로 설계 - 4비트 가산기 - 과 목 : 학 과 : 학 번 : 이 름 : 제출일자: 1. 4bit Adder 소개 4비트 가산기는 4비트인 2개의 입력신호를 더하는 역할을 한다. 예를 들어 1011 + 11..
[디지털 회로 설계] 4-Bit D Flip Flop 설계
리포트 > 공학/기술    8페이지 
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gat..
듀오,듀오마케팅전략,듀오기업분석,결혼정보회사분석
리포트 > 경영/경제    15페이지 
결혼은 美친 짓이다. - 인간 본능을 자극한 서비스 [듀오] 목 차 1. 서론 1-1 듀오 기업 소개……… 3 1-2 창업 ……… 3 1-3 결혼 정보업……… 3 1-4 듀오의 현재……… 4 2. 본론 2. 듀오 혁신 전 상황 ……… 6 3. ..
디지털논리회로 - VHDL을 이용한 inertial delay와 transport delay 확인
리포트 > 공학/기술    6페이지 
1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다. 3. Theo..
  이전    다음