[VHDL] Digital stop watch[디지털 스톱 워치] 설계 소스 - 키트와 쿼터스를 이용
Digital Stop Watch
1. 수행 목적
Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital 시계가 익숙한 현 세대에 더욱 선호되는 편이다. 이번 프로젝트 설계를 통해 학기 동안 배웠던 VHDL 문법을 복습하고, 나아가 더 복잡한 구조를 해석하고 설계할 수 있는 능력을 기를 수 있으며 키트의 세그먼트 활용과 클락 신호를 다루는 능력을 기를 수 있을 것이다.
2. 설계 일정
설계 구조
소스 자료 검색
코딩
시뮬레이션 분석
보고서 작성
3. 수행 내용
▼ 프로젝트 소개
- 키트의 Segment에 시간을 Display하고, Button switch로 control
- 시간, 분, 1/100초 단위까지 있어서 세밀하게 시간을 측정할 수 있다
entity stopwatch is
port( clk : in std_logic; -- 1kHz
reset : in std_logic;
start_stop : in std_logic; -- Run/Stop 동작을 조정하는 스위치
p0 : out std_logic;
p1 : out std_logic;
p2 : out std_logic;
p3 : out std_logic;
p4 : out std_logic;
p5 : out std_logic; -- com0 ~ com5, 6개의 Segment만 사용
a : out std_logic;
....
디지털 논리 설계 - Altera Max+plus II 스탑워치 설계 디지털 논리설계
Stop Watch
목 차
개 요
설계내용
결과
시연
개 요
강의시간에 배운 이론을 바탕으로
실제 상품화 될 수 있는 하드웨어를
Altera Max+plus II 프로그램을
사용하여 설계한 팀 프로젝트
결과입니..
System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현 최종 프로젝트
Digital Clock 설계
[목 차]
1. 시계 블록도(1page)
2. VHDL 소스 설명(2~42page)
① easy_clock.vhd
② clock.vhd
③ stopwatch.vhd
④ setclock.vhd
⑤ setalarm.vhd
⑥ alarm_dot.vhd
⑥ seven..
[공학] 스탑워치 VHDL 설계 ☉스탑워치 VHDL 설계☉
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stop is
PORT(
CLK : in std_logic;
SW_A : in std_logic;
SW_..
고급디지털 회로설계 - 111 DETECTOR 설계 고급디지털 회로설계 - 111 DETECTOR 설계
1. 설계 내용
VHDL을 이용하여 연속적인 111을 detect하여 111의 개수를 count 한다. 또한, 111이 15번 count되면 동작을 멈추어야 하며, S=1이 입력되었을 경우에는 처..
디지털 전자 시계 디지털 전자 시계(시계, Stop watch, 요일)
-구성 설명 및 제작기
목 차
디지털 시계 개요
블록 다이어그램
요점 부분 설명
추가 기능/보완 점
완성 사진
1. 디지털 시계 개요
일정한 Clock
발생된 Clock을 나눔
..
[디지털 회로설계] VHDL을 통한 Gray Code 설계 디지털 회로설계
1. 제목 : VHDL을 통한 Gray Code 설계
2. 개요 :
1) 목적 : Karnaugh map을 이용하여 Gray code를 설계하고 검증하여, Quartus2와 Karnaugh map을 통한 minimization에 대한 이해도를 높인다...
일반물리학 실험 - 구심력 측정 구심력 측정
1. 목적
물체가 일정한 각속도로 원운동을 할 때 그 구심력을 측정한다.
2. 기구
구심력장치 SG-5148, 디지털 스톱워치 SG-5109, 버니어 캘리퍼 SG-5102
3. 이론
물체가 원주 상을 일정한 속력..
공학실험 - 자이로스코프[Gyroscope]의 동특성 실험 Gyroscope의 동특성 실험
1. 실험 목적
Bifilar Pendulum을 이용해서 실험적으로 극관성 모멘트(Ip)를 구한다.
자이로스코프(Gyroscope) 실험을 통해
세차운동(Precession)의 원리를 알아보고
주축의 각속도..