로고
(검색결과 약 6,300개)
디지털 논리회로 설계 및 실습 - 논리 프로브 구성 결과 보고서
리포트 > 공학/기술    4페이지 
디지털 논리회로 설계 및 실습 - 논리 프로브 구성 결과 보고서 1.실험 목표 □ 7404 인버터를 사용한 간단한 논리 프로브(logic probe) 구성. □ 구성된 논리 프로브를 사용하여 회로 테스트 □디지털 멀티미터와 ..
디지털 논리 설계 - Altera Max+plus II 스탑워치 설계
리포트 > 공학/기술    14페이지 
디지털 논리설계 Stop Watch 목 차 개 요 설계내용 결과 시연 개 요 강의시간에 배운 이론을 바탕으로 실제 상품화 될 수 있는 하드웨어를 Altera Max+plus II 프로그램을 사용하여 설계한 팀 프로젝트 결과입니..
논리회로설계 - 자판기 설계에 대해
리포트 > 공학/기술    6페이지 
-자판기 설계- 1.설계 목적 자판기설계의 목적은 기본적인 순차논리회로를 해석하고 직접 설계하는 능력을 키우고 이를 바탕으로 간단하고 실생활에 이용되는 순차 논리회로를 디자인하는 것이다. 순차논리회로..
순서 논리회로 설계
리포트 > 공학/기술    23페이지 
순서 논리회로 설계 목 차 설계 목표 관련 기술 및 이론 설계 내용 및 방법 회로 설계 결과 토의 설계 목표 우리 주변에서 순서 논리 회로를 이용하여 설명되거나, 설명할 수 있는 제품이나 놀이를 생각해 ..
기계자동차공학 실험 - AND, OR, NOT 게이트를 통한 논리회로 설계, 7-세이그먼트 켜기
리포트 > 공학/기술    8페이지 
■ 실 험 - AND, OR, NOT 게이트를 통한 논리회로 설계  - 7-segment 켜기 ■ 목 표 1.AND,OR,NOT 게이트의 기호와 동작특성을 이해한다. 2.실험을 통해 AND,OR,NOT 게이트의 진리표(Truth Table)를 이해한다. ..
기계자동차공학 실험 - AND, OR, NOT 게이트를 통한 논리회로 설계
리포트 > 공학/기술    8페이지 
AND, OR, NOT 게이트를 통한 논리회로 설계  Ⅰ. 목 표 AND, OR, NOT 게이트의 기호와 동작특성을 이해고, 실험을 통해 AND, OR, NOT 게이트의 진리표(Truth Table)를 이해하며, 논리소자들의 작동법을 익힌다. ..
논리게이트
리포트 > 인문/어학    5페이지 
논리게이트 (논리설계 레포트) (1) 기본 게이트 ․컴퓨터 내부의 전자적 회로는 많은 스위치를 연결한 것과 같으며, 기본적인 단위 기능을 수행하는 것을 말한다. ① OR 게이트 ․역할 : 어느 하나만 1이면 결과..
인문, 어학
[전기전자회로실험] 디지털 논리 관련 설계자료
리포트 > 공학/기술    20페이지 
설계 목표 ① 숫자표시기를 이용하여 5입력을 3으로 나눈 몫과 나머지를 표현한다. ② NAND게이트와 INVERTER, 7447디코더를 이용하여 최대한 간단한 회로를 구성한다. ③ PSPICE를 이용해 출력을 예상해 본다. ④..
논리회로설계 - 영상 스크램블러
리포트 > 공학/기술    28페이지 
논리회로설계 프로젝트 자유주제 : 영상 스크램블러 작품설명 요즘 시대적으로 보안이라는 말에 상당히 민감하다 해킹을 당하여 정보를 도난당하는등 사회적으로 문제가 대두되고 있는데, 만약 전송되는 데이터..
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계
리포트 > 자연과학    13페이지 
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 1. 도어락의 개요 도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다. 2. 입력, 출력 및 상태..
쇼핑몰 구축을 위한 논리 DB 설계
정보/기술 > 웹/DB    14페이지 
각종 쇼핑몰 구축 프로젝트시 필수적인 DB 설계 단계의 핵심적인 산출물인 DB 설계서이다. 본 제공자가 여러 쇼핑몰 관련 DB 설계서를 검토한 결과, 관계형 DB 설계에 대한 올바른 개념 없이 그저 테이블을 나열하..
논리회로 설계- 디코더, 인코더에 대해서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
논리회로 설계 - 디코더 인코어 보고서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
디지털논리회로 - VHDL을 이용한 inertial delay와 transport delay 확인
리포트 > 공학/기술    6페이지 
1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다. 3. Theo..
논리회로 설계 및 실험 - 가산기와 감산기
리포트 > 공학/기술    6페이지 
논리회로 설계 및 실험 - 가산기와 감산기 [각 사진마다 LED는 ☆로, 스위치는 ↑로 표시해 놓았습니다.] ⓵ 7486 IC, 7408 IC 으로 구현한 가산기 회로 반가산기는 2개의 2진 입력과 2개의 2진 출력이 필요하다. ..
  다음