로고
(검색결과 약 46개)
[공학] 스탑워치 VHDL 설계
리포트 > 공학/기술    10페이지 
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_..
System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현
리포트 > 공학/기술    47페이지 
최종 프로젝트 Digital Clock 설계 [목 차] 1. 시계 블록도(1page) 2. VHDL 소스 설명(2~42page) ① easy_clock.vhd ② clock.vhd ③ stopwatch.vhd ④ setclock.vhd ⑤ setalarm.vhd ⑥ alarm_dot.vhd ⑥ seven..
디지털 논리 설계 - Altera Max+plus II 스탑워치 설계
리포트 > 공학/기술    14페이지 
디지털 논리설계 Stop Watch 목 차 개 요 설계내용 결과 시연 개 요 강의시간에 배운 이론을 바탕으로 실제 상품화 될 수 있는 하드웨어를 Altera Max+plus II 프로그램을 사용하여 설계한 팀 프로젝트 결과입니..
[애플기업분석]애플의 경영전략(혁신적인 제품개발) PPT자료
리포트 > 경영/경제    19페이지 
목 차 Ⅰ. 애플의 아이워치 Ⅱ. 지속적인 연구개발 Ⅲ. 혁신적인 제품 Ⅳ. 다양한 기능 Ⅴ. 높은 수익성 Ⅰ. 애플의 아이워치 아이워치는 오래 전부터 거론돼온 ‘스마트워치’의 일종이다. 애플은..
애플, 기업분석, 경영전략, 신제품개발
[애플기업분석]애플의 경영전략(혁신적인 제품개발) 보고서
리포트 > 경영/경제    7페이지 
목 차 Ⅰ. 애플의 아이워치 ………3 Ⅱ. 지속적인 연구개발 ………4 Ⅲ. 혁신적인 제품 ………5 Ⅳ. 다양한 기능 ………6 Ⅴ. 높은 수익성 ………6 Ⅰ. 애플의 아이워치 아이워치는 오래 전부터 거론돼온 ‘스마트워..
애플, 기업분석, 경영전략, 신제품개발
전도서
문화예술 > 종교/초자연    13페이지 
1. 자료출처 워치타워 성서책자 협회 2. 문서형식 MS-Word 3. 용량 13쪽 (59KB) 4. 제작의도 성서 전도서의 내용을 편집없이 그대로 수록하여 구입한 사람이 필요한 부분만을 발췌하여 쓸수 있도록 하..
공학실험 - 자이로스코프[Gyroscope]의 동특성 실험
리포트 > 공학/기술    22페이지 
Gyroscope의 동특성 실험 1. 실험 목적 Bifilar Pendulum을 이용해서 실험적으로 극관성 모멘트(Ip)를 구한다. 자이로스코프(Gyroscope) 실험을 통해 세차운동(Precession)의 원리를 알아보고 주축의 각속도..
[VHDL] Digital stop watch[디지털 스톱 워치] 설계 소스 - 키트와 쿼터스를 이용
리포트 > 공학/기술    11페이지 
Digital Stop Watch 1. 수행 목적 Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital 시계가 익숙한 현 세대..
[언론정보학] 텔레비전이 사회 전반에 미치는 영향
리포트 > 사회과학    8페이지 
텔레비전이 사회 전반에 미치는 영향 Ⅰ. 텔레비전과 어린이 텔레비전이 만들어내는 가장(假裝)된 세계는 수많은 사람들에게 현실에 대한 모델 역할을 한다. 특히 세상에 대한 배움을 시작하는 어린이들은 읽을 ..
verilog 시계[디지털 논리 회로]
리포트 > 공학/기술    15페이지 
모듈 및 시뮬레이션 1. 기본 시계 제작 (0.1초~1분단위, 스탑워치) [전체 시간모듈이지만 1분까지만 코딩하였습니다.] ㉮기본 시간 모듈 timescale 100ns/1ns module timer_go (c1k,reset,comma_a,sec_b,sec_..
[독후감] 래스터 부라운의 중국을 누가 먹여 살릴 것인가 를 읽고
리포트 > 독후감/서평    4페이지 
래스터 부라운의 중국을 누가 먹여 살릴 것인가 를 읽고 「따님환경신서18」 지은이 래스터 부라운(Lester R. Brown)은 세계최고 권위의 민간환경단체로 알려져 있는 월드워치연구소(Worldwatch Institude)의 창..
일반물리학 실험 - 구심력 측정
리포트 > 자연과학    3페이지 
구심력 측정 1. 목적 물체가 일정한 각속도로 원운동을 할 때 그 구심력을 측정한다. 2. 기구 구심력장치 SG-5148, 디지털 스톱워치 SG-5109, 버니어 캘리퍼 SG-5102 3. 이론 물체가 원주 상을 일정한 속력..
위어[weir] - 위어판에 의하여 수위차를 만들어서 유량을 측정
리포트 > 공학/기술    5페이지 
1. 실험 목적 위어(weir)는 위어판에 의하여 수위차를 만들어서 유량을 측정한다. 이 수위와 유량간의 관계를 살펴보고, 위어실험을 통해 측정된 유량과 이론식에 의한 유량을 비교하여 유량계수를 알아보고자 한..
APPLE 애플 SWOT분석
리포트 > 경영/경제    4페이지 
애플 APPLE SWOT분석 1. Strength (강점) - 애플의 매력적인 디자인 말 그대로 예쁘고 멋지다는 것이다. 단순하면서도 세련된 외관은 질리지 않는다. 일반적인 첨단 전자제품 디자인은 출시될 땐 환영받아도 조..
APPLE 애플 마케팅전략 분석과 애플 바람직한 미래방향제시
리포트 > 경영/경제    8페이지 
APPLE 애플 마케팅전략 분석과 애플 바람직한 미래방향제시 보고서 입니다. 직접 책봐가며 만든 레포트이고 A학점 받았습니다. 1. APPLE 애플 기업소개 2. 애플의 고객층 분석 3. 애플 SWOT분석 (1) Strength (..
아이폰, APPLE, 애플 성공, 애플워치, 아이패드, 스티브잡스, 애플 마케팅, 애플 stp, 애플 4p, 애플 swot
  다음