로고
(검색결과 약 4,711개 중 65페이지)
정보시스템설계1
리포트 > 공학/기술    20페이지 
1. 제안의 배경 및 목적 21세기를 맞이하여 새로운 패러다임으로 대두된 지식화, 정보화 사회로의 전환에 대한 범 국가적 대응의 일환으로 귀 공사께서 추진하는 「사이버 아파트 구축계획」은 매우 시기 적절하..
기계설계학 - 자동차 베어링 설계
리포트 > 공학/기술    13페이지 
#자동차 베어링 설계 참고도서 최신기계설계학 (황평 외5명 공저) 참고사이트 기계설계 이론과 실제(교보문고) - 홍장표 신편 기계설계학(북스힐) - 정선모 http://www.hyundai.com/kr/ http://www.isbrg.co..
PMSM의 속도 제어회로 OrCAD로 작성
리포트 > 공학/기술    12페이지 
목차 1. 설계 목적 2. 이론 ① PMSM ② IGBT ③ 스위칭소자로서의 MOSFET ④ 인버터 ⑤ Inverse_DQ ⑥ PID제어기 3. 설계내용 ① 단상인버터 설계 ② 3상인버터 설계 ③ Inverse DQ ④ 설계에 사용된 PMSM ⑤ PI제어기 ⑥ ..
(대경기계기술자기소개서 + 면접기출문제) 대경기계기술(설계분야) 자기소개서 합격예문 [대경기계기술자소서지원동기첨삭항목]
서식 > 자기소개서    5페이지 
자기소개서샘플,자기소개서예문,자소서항목 합격자들의 자기소개서를 참고하여 몇 번의 수정을 거쳤습니다.또 기업기념과 인재상을 고려하여 이목을 끌만한 단어들로 구성하도록 노력했습니다. 인터넷에 떠도는 진..
자기소개서, 자소서, 이력서, 합격
리스트럭처링의 의의에 대해 설명하시오
리포트 > 경영/경제    4페이지 
리스트럭처링의 의의에 대해 설명하시오 리스트럭처링의 의의 (1) 조직설계와 리스트럭처링 국제화의 진전에 따른 경쟁의 격화, 고객욕구의 다양화, 산업구조의 고도화, 기 술의 융합화, 사업의 복잡화 등 ..
개념 정의설명, 특성 특징 중요성, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례
상사의 리더십이 종사원의 조직몰입에 미치는 영향,리더십,거래적리더십,변혁적리더십,조직몰입
리포트 > 경영/경제    29페이지 
상사의 리더십이 종사원의 조직몰입에 미치는 영향 목차 연구설계의 배경 이론적 고찰 연구설계 실증분석 가설검증 결론 한계점 및 느낀점 배경 2. 호텔의 특수성 배경 1. 21세기 지식 정보화 사회에서 기업 환경..
검측체크리스트_터파기 및 되메우기
서식 > 건설서식    1페이지 
검측체크리스트 공종 Code No. 검측일자 년월일 공종 터파기 및 되메우기 부위별 세부공종 트렌치터파기 위치 검사항목 검사기준 (시방) 검사결과 조치사항 YES NO 1. 선형,구배,계획고는 설계와 일치하는가 ..
내진성능 평가절차 및 성능수준 판별
리포트 > 공학/기술    16페이지 
내진성능 평가절차 및 성능 수준 판별 목차 CONTENTS 01 내진 성능 평가가 필요한 이유 최근에 일본에서는 지진으로 인한 방사능유출에 이어 온타케 화산 분출 등 지각변동이 심상치 않다. 한국의 지각판은 일본..
(이건창호자기소개서 + 면접기출문제) 이건창호(건축부문설계) 자기소개서 우수예문 [이건창호자소서지원동기첨삭항목]
서식 > 자기소개서    5페이지 
자기소개서샘플,자기소개서예문,자소서항목 합격자들의 자기소개서를 참고하여 몇 번의 수정을 거쳤습니다.또 기업기념과 인재상을 고려하여 이목을 끌만한 단어들로 구성하도록 노력했습니다. 인터넷에 떠도는 진..
자기소개서, 자소서, 이력서, 합격
공조설비설계 부하집계
리포트 > 공학/기술    4페이지 
공기조화기 부하 집계 층 냉방부하 난방부하 공기조화기 면적 (m2) 조닝피크 SHF 외기량 (m3/h) 외기부하 전열(W) 냉방합계 (W) 건물부하 외기부하 가습량 (kg/h) 시각 현열 (W) 잠열 (W) 건물부하 전열(W) 현..
조직 수준의 기술과 설계에 대하여
리포트 > 경영/경제    2페이지 
조직수준의 기술과 설계에 대하여 1. woodward의 기술과 조직구조에 관한 연구 (1) 개요 조직구조의 영향요인으로서 조직기술에 대한 woodward의 연구는 기술적 관점에서 조직구조를 처음으로 다룬 중요한 시도..
[공학] 스탑워치 VHDL 설계
리포트 > 공학/기술    10페이지 
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_..
국어논술 작문 능력의 특성과 쓰기 영역 교육과정 설계
리포트 > 인문/어학    3페이지 
작문 능력의 특성과 쓰기 영역 교육과정 설계 A. 내용요약 1. 작문 능력의 개념 ☑ 글을 쓰는 행위는 의미를 구성하는 행위임과 동시에 목적에 맞게 지식을 변형하고 구성하는 인지적 과정이며, 다양한 가능성..
실험보고서 - 콘크리트 배합 설계
리포트 > 자연과학    3페이지 
▲ 콘크리트 배합 설계 1. 시험 목적 콘크리트 배합이란 콘크리트를 만드는데 필요한 각 재료의 비율 또는 사용량을 말하며, 배합설계란 소요의 강도, 내구성, 균일성, 수밀성, 작업에 알맞는 워크빌리티 등을 가..
실린더 계산식
서식 > 자동화서식    1페이지 
2) 설계 조건 1) 구성 구분 / 분류 ABC① 부하 형태 (K) A 부하 구분 ② 기준 부하율 () 50% ③ 공급 유체 압력 (p) 4 Kg/cm² ④ 부하 하중(W) 250 Kg ⑤ CYL DIA(D) 125 mm ⑥ CYL 추력(F) 490.9 Kg ⑦ CYL 수량(..
  이전    다음