로고
(검색결과 약 94개 중 3페이지)
[FTA세미나]중국 청두(成都) 수출 인큐베이터
리포트 > 경영/경제    12페이지 
중국 청두(成都) 수출 인큐베이터 중국 내수시장 진출전략 프레임워크 ※ Goal ※ Perspective: Location + Portfolio + Ksf ※ Logic: Why + What + How ※ Target ※ 기업의 목표에 따라 공란에 구체적인 전략..
투자 계획 및 관리 Process
비지니스 > 경영관리    29페이지 
목차 1. 투자 계획 수립 1.1 투자 계획 수립 Process 1.1 투자 가능 재원 산출 Logic 2. 투자 관리 2,1 투자 관리 Process 2.2 신규/확장/경상투자 Process 2.3 최소 투자 수익율 2.4 투자 Segment별 Chec..
논리적 사고를 위하여
리포트 > 인문/어학    32페이지 
I. 논리 논리(論理)라는 말은 <말의 이치>를 의미하는 한자어로 되어 있다. 예컨대 '물리(物理)'는 물질들이 변화하고 작용하는 이치를 다루는 것이요 논리는 말로써 따질 때 따라야 할 이치를 다루는 것이다. ..
고객관리기법
리포트 > 경영/경제    10페이지 
Service Management I. 서비스 상품 특성 II. Service logic map III. SERVQUAL IV. 서비스 절차 개발 V. 서비스 품질 개발을 위한 프로그램 개발 단계 VI. 5 단계 Leadership VII. 성공의 공식 Service Service L..
[전자회로] 쇼트키 TTL게이트에 대해서
리포트 > 공학/기술    6페이지 
Schottky TTL schottky TTL 게이트 나는 schottky TTL 게이트를 소개 하려한다. 그러기 위해서는 TTL(Transistor-Transistor Logic)를 먼저 살펴보아야 한다. 본래 DTL(Diode Transistor Logic)게이트를 약간 개선..
[디지털 회로설계] 4-Bit D Flip Flop 설계
리포트 > 공학/기술    6페이지 
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate..
GATE 논리회로
리포트 > 공학/기술    7페이지 
GATE 논리회로 1. 논리회로(Logic Circuit) ― 2진 정보를 기반으로 AND, OR, NOT 등과 같은 논리 연산에 따라 동작을 수행하는 논리소자들을 사용하여 구성된 전자회로. 2. 논리회로의 분류 1) 조합논리회로(Co..
커뮤니케이션에 관한 신념(견해)
리포트 > 경영/경제    2페이지 
커뮤니케이션에 관한 신념(견해) 조직은 효율적 운영을 위해서 양호한 커뮤니케이션을 요구하고 있다. 더욱이 관리자가 개인의 관리 방법으로 커뮤니케이션 행위를 하는데 활용되는 것은 총시간의 80% 내지 90%를..
개념, 정의, 특징, 특성, 과제
영어교과 연구 수업 지도안
리포트 > 교육학    12페이지 
Ⅰ. Master Plan 1. Text : High School English for Everyone 2. Unit : Lesson 4. Need Some Advice 3. Grade : First Grade 4. General Aims (1) Language Skills ① Listening: Understand the accurate situ..
영어교과 연구 수업 지도안
리포트 > 교육학    12페이지 
Ⅰ. Master Plan 1. Text : High School English for Everyone 2. Unit : Lesson 4. Need Some Advice 3. Grade : First Grade 4. General Aims (1) Language Skills ① Listening: Understand the accurate situ..
컴퓨터공학 및 기초설계 - Digital logic 레포트 Boolean algebra, XOR, 카르노맵
리포트 > 공학/기술    4페이지 
0. 배경이론 Bool 대수와 De Morgan의 정리 -Bool대수 부울 대수는 0과1의 2개 요소와 +, . 의 두 연산자만을 사용하는 대수로서 공리를 바탕으로 전개되는 대수이다. 카르노맵 카르노 맵은 부울식을 간소화하기 ..
[전기전자] BJT IC 및 동작특성 기술
리포트 > 자연과학    4페이지 
BJT IC 및 동작특성 기술 ◎ RTL (Resistor Transistor Logic) ◆ 기판 위에 저항을 부착시키고 거기에 트랜지스터를 설치해 모듈화시킨 IC ◆ 디지탈 IC로서는 최초 ◆ 현재는 거의 사용치 않음 ▷ 3- Input NOR..
비단조 논리 연구 - 과학적 설명과 비단조 논리; 정영기 지음, 엘맨, 1996, Page 126~153[책 내용]
리포트 > 독후감/서평    12페이지 
비단조 논리 연구   과학적 설명과 비단조 논리 : 정영기 지음, 엘맨, 1996, Page 99~125   목 차 1. 비단조논리의 특성 2. 비단조 논리의 유형    (1) 라이터의 초기화 논리 (default logic)    (2) 무어의 자동..
적합성의_개념
리포트 > 사회과학    4페이지 
1. CONCEPT OF RELEVANCE (적합성의 개념) 1) 적합성 (1) 정보학에 있어 가장 중요한 개념중의 하나 (2) 각 정보전달 과정의 효과성을 지배하는 요인. (3) 성공적인 검색행위의 기준. (4) Wilson (1973) a..
사회과학
아이웨딩,아이웨딩마케팅전략,아이웨딩기업분석,결혼정보회사분석
리포트 > 경영/경제    10페이지 
결혼은 美친 짓이다. - 인간 본능을 자극한 서비스 [아이웨딩] 목 차 1. 서론 1-1 아이웨딩 기업 소개 ……… 18 2. 본론 2. 아이웨딩 혁신 전 상황 ……… 19 3. 아이웨딩 혁신 과정 ……… 20 1) 아이웨딩 네트워..
  이전    다음