로고
(검색결과 약 640개 중 19페이지)
고저차 측량
리포트 > 자연과학    3페이지 
고저차 측량 1. 실습 목적 -측량학 강의 시간에 배운 수준측량을 이용하여 공대에서 정문까지, 정문에서 공대까지의 고저차를 측정하고 그 오차를 비교해본다. 2. 실습 기구 ➀ 레 벨 기 ➁ 표 척 3. 실습 이론..
엔진역사
비지니스 > 경제동향    3페이지 
1page 자료입니다. 엔진의 개발과 역사 1670~1867년 Lenoir의 무압축 기관 1670년 피스톤식 내연기관의 최초 구상 Huyghes(프랑스)의 화약식 부압 이용기관. 1712년 증기기관이 Newcomen(영국)에 의해 실용화 ..
[아들러와 융의 이론] 개인심리학과 분석심리학(개인심리이론, 분석심리이론)
리포트 > 경영/경제    9페이지 
[아들러와 융의 이론] 개인심리학과 분석심리학(개인심리이론, 분석심리이론)에 대한 레포트 자료입니다. [아들러와 융의 이론] 개인심리학과 분석심리학(개인심리이론, 분석심리이론) 목차 [아들러와 융의 이..
개념, 정의, 특징, 과제, 문제점
(A+ 레포트) 칼 융의 분석심리이론
리포트 > 교육학    7페이지 
이 자료는 칼 융의 분석심리이론의 특징과 성격발달 단계에 대하여 분석, 정리한 A+ 레포트이다. I. 서론 II. 본론 1. 칼 융의 분석심리학 특징 2. 분석심리와 정신분석과의 차이점 3. 주요개념 (1) 정신 (2..
칼융, , 분석심리학, 분석심리, 분석심리이론, 정신분석, 심리상담
신호분석과 전기량 측정(1)
리포트 > 공학/기술    3페이지 
1. 제목: 신호분석과 전기량 측정 2. 목적 *오실로스코프 및 MULTI-meter사용법 이해 *LAB-view 사용법 이해 *AC, DC 의 형태이해 및 측정 *신호분석 및 전기량 측정 3. 기본이론 *중첩의 원리 (Superpositi..
비유와 상징
리포트 > 인문/어학    13페이지 
비유와 상징 연구 리포트입니다. A+ 받은 자료입니다. 받아가시는 모든분들이 좋은 점수받기 바랍니다. 많은 도움되시길 바랍니다^^ Ⅰ. 비유 1. 비유의 개념 2. 비유의 원리 3. 비유의 종류 3.1. 직유 3.2. 은유 3..
비유, 상징, 직유, 은유, 문학
기계공작 - 정밀측정 실습보고서
리포트 > 공학/기술    2페이지 
․ 실험제목 : 정밀측정 실습보고서 ․ 안전수칙 ① 측정기나 시편을 떨어뜨리지 않도록 한다. ② 측정시 충격이나 진동 등을 주지 않도록 한다. ③ 측정실내에서는 항상 정숙을 유지한다. ④ 측정시 측정압이 일정하도..
검정·교정검사신청서
서식 > 행정민원서식    1페이지 
[별지 제6호 서식] 검정교정검사 신청서 □ 교정용 매연표준지 □ 매연포집용 여과지 □ 환경측정기기용 교정가스 완료예정일 업체명 대표자 주소 신청자 인 사업자등록번호 전화번호 구분 신청구분 규격 수..
미국영화의기원
리포트 > 예체능    2페이지 
*미국영화의 기원 미국영화의 기원 (1914-1919) 전쟁이 발발한 1910년대의 후반기 동안 미국에는 세 가지 발달이 병행적으로 일어났다. 첫째, 장편들이 절정에 달했다. 둘째, 미국 영화의 코미디 전통이 시작되었..
예체능
중국 문화사 서평
리포트 > 독후감/서평    3페이지 
들어가며 이 책의 저자 두정승은 중국고대사의 전문가로 현재 대만 교육부 장관으로 있다. 그는 특히 정치․사회 분야에 대한 연구를 중점으로 하고 있는데 본 책 『중국문화사』를 통해서 현 중국이 흘러온 문화..
자동차산업 노사관계의 유형과 상호작용 관계
리포트 > 사회과학    18페이지 
I. 문제의 제기 1980년대 이후 북미지역의 자동차산업은 생산 현장을 중심으로 커다란 산업 재구조화를 경험하였다. 전지구적 차원의 산업구조 변동과 자동차 생산의 국제화, 포디즘적 생산 체제의 위기와 근본..
[지식 창조 모델] 지식창조의 발전과정과 모델
리포트 > 경영/경제    10페이지 
[지식 창조 모델] 지식창조의 발전과정과 모델 목차 * 지식 창조 모델 1. 지식 축적 2. 지식 창조 3. 지식 공유 4. 지식 활용 Ⅰ. 암묵지와 형식지의 창조 및 변환 모드 1. 암묵지에서 암묵지로의 전환(TT) ..
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
[물리응용실험] 자기장의 특성 및 응용
리포트 > 공학/기술    3페이지 
[물리응용실험] 자기장의 특성 및 응용 1.제목 : 자기장의 특성 및 응용 2.실험 목적 ① 패러데이의 전자 유도 법칙을 확인하고, 솔레노이드의 작용을 실험한다. ② 플레밍의 왼손 법칙에 따른 전자기 유도력을 실..
전세권설정계약서
서식 > 계약서    1페이지 
전세권설정 계약서 금: 전세권 설정자는 위 전세금을 오늘 틀림없이 받고 전세권자의 사용수익을 위하여 내 소유인 다음의 부동산에 순위 제○○ 번의 전세권설정계약을 체결하고 다음조항을 약정한다. 제1조..
전세권설정계약서
서식 > 계약서    1페이지 
전세권설정 계약서 금: 전세권 설정자는 위 전세금을 오늘 틀림없이 받고 전세권자의 사용수익을 위하여 내 소유인 다음의 부동산에 순위 제○○ 번의 전세권설정계약을 체결하고 다음조항을 약정한다. 제1조..
  이전    다음