로고
(검색결과 약 3,826개 중 10페이지)
정보통신 실습 - 드 모르간의 법칙(결과 보고서)
리포트 > 공학/기술    5페이지 
드 모르간의 법칙 1. 실험 목적 ▣ 드 모르간 법칙을 소자를 이용하여 실험적으로 증명한다. ▣ 드 모르간 법칙을 이용하여 부울대수 변환 및 논리회로를 간소화하는 능력을 익힌다. ▣ 논리소자의 동작을 이해한다...
퍼지 제어이론
리포트 > 공학/기술    13페이지 
퍼지 제어이론 1 개요 퍼지이론은 1965년 L. A. Zadeh 에 의해 처음으로 소개된 후 많은 분야에서 빠르게 응용되어지고 있다. 기존의 디지털 논리체계는 0과 1의 의미가 확실한 반면, 퍼지 논리는 어떤 집합에..
전자공학 기초 자료 문서
정보/기술 > 전기전자    109페이지 
전자공학 기초지식을모아놓았습니다. 증폭, 하드웨어, 논리, 다이오드, 등등등,,, 기초지식을 모아 두었습니다.. 전자공학에(전자)관심이 많으신분은 받아보시면 많은 도움이 될것같습니다. 그럼 많이 이..
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계
리포트 > 자연과학    13페이지 
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 1. 도어락의 개요 도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다. 2. 입력, 출력 및 상태..
verilog 시계[디지털 논리 회로]
리포트 > 공학/기술    15페이지 
모듈 및 시뮬레이션 1. 기본 시계 제작 (0.1초~1분단위, 스탑워치) [전체 시간모듈이지만 1분까지만 코딩하였습니다.] ㉮기본 시간 모듈 timescale 100ns/1ns module timer_go (c1k,reset,comma_a,sec_b,sec_..
기업의 생존과 발전
리포트 > 경영/경제    3페이지 
기업의 생존과 발전 자본주의 경제체제 하에서는 사유재산제도가 법률적으로 보장되고 자유로운 영리활동이 보장되며 자유경쟁체제가 확립되어 있다. 따라서 기업 활동은 적자생존의 원리에 따라 수행되고 있다. ..
개념, 정의, 특징, 특성, 과제
체계이론
리포트 > 사회과학    10페이지 
체계이론에 대한 레포트 자료. 체계이론 목차 체계이론 I. 인간발달에 대한 맥락적 이해 II. 체계의 개념 III. 체계의 유형 IV. 체계론적 사고의 기본 논리 * 참고문헌 ...(이하 내용 생략)
개념, 정의, 특징, 과제
시장개방의 논리와 장점,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p
리포트 > 경영/경제    33페이지 
시장개방의 논리와 장점 세계의 커피 소비량 [출처: 세계커피기구(ICO) ,2010년] (단위: kg) 세계의 커피 생산량 [출처: 세계커피기구(ICO) ,2010년] 세계의 커피 생산량 [출처: 세계커피기구(ICO) ,2010년] 북위 ..
21세기조직통합모형에관한이론적고찰
리포트 > 경영/경제    34페이지 
21세기 조직의 통합모형에 관한 이론적 고찰* Theoritical Review of the intergrated Model for 21C Organization Ⅰ. 서론 Ⅱ.팀 조직 Ⅲ. 프로세스 조직 Ⅳ. 네트워크 조직 Ⅴ. 학습조직 Ⅵ. 미래 조직모델의 비교 ..
전자공학 논리회로 실험 - Logic 연산과 Gates
리포트 > 공학/기술    6페이지 
Logic 연산과 Gates 1. Diode logic 연산 ☞ 학습목표 AND, OR diode circuit 의 특성을 diode logic 연산으로 알아보자. ☞ 실험 1. AND gate AB A·B 5V 5V 5.15V 5V 0V 0.64V 0V 5V 0.64V 0V 0V 0.64V 2..
논리회로 - 플립플롭
리포트 > 공학/기술    18페이지 
플 립 플 롭 플립플롭과 래치는 두개의 안정된 상태 중 하나를 가지는 1비트 기억소자 플립-플롭 클럭신호가 Rising 할 때만 출력값이 변함. Edge-triggered 방식으로 동작 래치 Enable 제어신호가 ‘1’인 동안에..
논리회로 설계- 디코더, 인코더에 대해서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
나쁜 사마리아 인들을 읽고 줄거리 요약분석 및 감상문 느낀점 과 나의소감
리포트 > 독후감/서평    3페이지 
Report ( 나쁜 사마리아 인들을 읽고 줄거리 요약분석 및 감상문 느낀점 과 나의소감 ) “나쁜 사마리아 인들“/ 지은이 장하준 저자 장하준 교수는 소위 신자유주의으 이념을 개구리들이 올챙이 수탁을 위해 조..
논리회로 설계 - 디코더 인코어 보고서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
기업의 생존생존을 위한 변화 필요성
리포트 > 경영/경제    2페이지 
기업의 생존생존을 위한 변화 필요성 1. 기업의 생존 조직의 입장에서 생존이란 지속적으로 기업 활동을 하는 것이다. 당장 기본적인 수준에서 생존이 보장되고 나면 다음 단계는 이런 상황이 당분간은 지속..
  이전    다음