로고
(검색결과 약 44개)
관광단지 개발사업 다이어그램
비지니스 > 사업계획서    1페이지 
관광단지 개발사업 다이어그램
관광단지, 개발사업, 다이어그램
[가족사정] 가족사정 방법 - 면담, 관찰, 사정도구에 의한 사정(가계도, 생태도, 사회적 관계망그리드-관계망 다이어그램, 가족지도, 맥매스터모델, 순환모델)
리포트 > 경영/경제    8페이지 
[가족사정] 가족사정 방법 - 면담, 관찰, 사정도구에 의한 사정(가계도, 생태도, 사회적 관계망그리드-관계망 다이어그램, 가족지도, 맥매스터모델, 순환모델)에 대한 레포트 자료입니다. [가족사정] 가족사정 방..
개념, 정의, 특징, 과제, 문제점
UML다이어그램의 종류
리포트 > 공학/기술    16페이지 
uml다이어그램의 종류 목 차  -uml  -다이어그램 종류    = Usecase Diagram   = Class Diagram   = Sequence Diagram   = Collaboration Diagram   = State Chart Diagram   = Activity Diagram   = Activity D..
UML 조사 레포트
리포트 > 공학/기술    8페이지 
그림 UML구성요소 ◎UML(Unified Modeling Language)의 정의와 특징 UML이란 복잡한 소프트웨어 시스템 개발 모델링에 필요한 구성요소를 옆의 그림1과 같이 제시하고 이를 이용한 추상화 방법과 산출물들을 프로..
설계패턴 - UML 다이어그램 보고서
리포트 > 공학/기술    13페이지 
UML 다이어그램 보고서 ■activity diagram(활동 다이어그램) ① 정의 : 처리 로직이나 조건에 따른 처리흐름을 순서에 따라 정의한 모델 *흐름도, activity 간의 전달되는 제어 흐름을 표현 *하나의 activity는..
MISkING,MISkING브랜드,SPA,패스트패션,의사결정시스템,DFD,UML,유스케이스다이어그램,의류시장분석,아동복시장
리포트 > 경영/경제    52페이지 
의류시장의 새로운 핵으로급부상한 “MISkING” 경영정보 시스템 목차 문항 1 기업창업과 세부사항 1.기업의 업종 2.조직문화 3.주요 제품과 서비스 4.주요 타겟 시장과 고객층 문항 2 신제품 개발 1.신규시장 선..
건축가 조사 - 건축가 피터 아이젠만에 관해서
리포트 > 공학/기술    31페이지 
건축가 피터 아이젠만에 관해서 목차 1. 피터 아이젠만 2. 연혁 3. 목표 4. 디자인 프로세스의 개념 5. 아이젠만의 작품 분석 및 특성 6. 주택 시리즈의 기하학적 다이어그램 전개과정의 특징 7. 피터아이젠만 ..
[건축] 건축가 세지마 가즈요에 대해서
리포트 > 공학/기술    6페이지 
SEJIMA KAZUYO (妹島和世) ■ 약력 1959년 일본 이바라키현 출생 1981년 일본여자대학대학원 수료 1981년 이토 도요 건축설계사무소 입사 1987년 이토 도요 건축설계사무소 퇴사 1987년 세지마 가즈오 건축설계사..
이동통신사 마케팅 전략기획서
비지니스 > 컨설팅/마케팅    86페이지 
011, 017 이동통신사 마케팅 전략기획서 입니다 효율적이고, 고객의 성향분석 다이어그램을 포함하고 있어, 다른 마케팅 계획을 잡으시는데 큰 도움이 될거라 생각되어집니다 파일양식은 파워포인트 원본이며, ..
화사한 파워포인트 템플릿
서식 > 파워포인트양식    26페이지 
메인슬라이드 1장, 엔딩 슬라이드 1장, 다이어그램 슬라이드 23장, 2가지 스타일의 속지를 제공합니다. 용도:사업계획서,제안서,프레젠테이션시 유용하게 내용을 작성해서 사용할수 있습니다. 글꼴모든 글꼴은 ..
파워포인트, ppt, 다이어그램, 프리젠테이션, 레포트발표, 레포트만들기
화사한 꽃 파워포인트 템플릿
서식 > 파워포인트양식    26페이지 
메인슬라이드 1장, 엔딩 슬라이드 1장, 다이어그램 슬라이드 23장, 2가지 스타일의 속지를 제공합니다. 용도:사업계획서,제안서,프레젠테이션시 유용하게 내용을 작성해서 사용할수 있습니다. 글꼴모든 글꼴은 ..
파워포인트, ppt, 프리젠테이션, 레포트발표, 레포트만들기
운영계획의 작성(운영계획서의 작성방법)
리포트 > 경영/경제    7페이지 
운영계획의 작성(운영계획서의 작성방법)에 대한 레포트 자료입니다. 운영계획의 작성(운영계획서의 작성방법) 목차 운영계획의 작성(운영계획서의 작성방법) I. 계획의 문서화 II. 계획의 다이어그램화 ..
개념, 정의, 특징, 과제, 문제점
품질경영 - 문제해결을 위한 아이디어 발상법
리포트 > 경영/경제    13페이지 
문제해결을 위한 아이디어 발상법 시장조사 방법 설문지법 관찰법 인터뷰 집단 토론법 아이디어 개발법 개념 새로운 것을 찾아내기 위해 생각하는 방식을 몇 가지 전형적인 유형으로 정리 기본 전제 신제품은 기존..
[소프트웨어공학] UML 분석
리포트 > 공학/기술    13페이지 
UML 분석 전체 프로세서 업무별 프로세스 분석차량적발과정 업무별 프로세스 분석사진전송과정 업무별 프로세스 분석적발자 처리과정 업무별 프로세스 분석통보 처리과정 전체적인 클래스 구조 세부 클래스 구조 ..
논리게이트 - VHDL 설계 언어 실습
리포트 > 공학/기술    26페이지 
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample ..
  다음