로고
(검색결과 약 755개)
P.L.C - Programmable Logic Controller에 대해서
리포트 > 공학/기술    17페이지 
P . L . C -Programmable Logic Controller 목 차 PLC의 정의 PLC 이전의 시퀀스 시스템과 PLC의 개선점 PLC와 컴퓨터 비교 PLC 활용 분야 PLC의 종류 (일체형 PLC) 2 P.L.C의 정의 PLC는 Programmable Logic ..
메카트로닉 - plc[Programmable Logic Controller]에 대해서
리포트 > 공학/기술    17페이지 
P . L . C -Programmable Logic Controller 목 차 PLC의 정의 PLC 이전의 시퀀스 시스템과 PLC의 개선점 PLC와 컴퓨터 비교 PLC 활용 분야 PLC의 종류 (일체형 PLC) 2 P.L.C의 정의 PLC는 Programmable Logic ..
PLC의 분기별 시장동향
비지니스 > 경제동향    3페이지 
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능,..
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능, 프로그램기능제어, 프로그램제어기능기, 프로그램기능제어기
PLC의 월별 시장동향
비지니스 > 경제동향    4페이지 
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능,..
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능, 프로그램기능제어, 프로그램제어기능기, 프로그램기능제어기
plc개요[1]..pdf
정보/기술 > 토목/건축    5페이지 
PLC의 개요 1.PLC의 정의 PLC(Programmable Logic Controller)는 “Process 혹은 Equipment 의 제어를 위한 논리연산, Sequence 제어, 지연, 계산 및 산술연산등의 제어동작을 시키기 위해 , 제어순서를 일련의 명..
plc개요[1]..pdf
자동화 응용실험 - PLC의 정의, PLC 출연 배경, PCL 적용사례, 유압밸브 종류
리포트 > 공학/기술    5페이지 
1. PLC의 정의 Programmable Logic Controller의 약자로 간단히 PLC라고도 하며 Programmable Controller 또는 Sequencer라 한다. 제어반 내의 보조 릴레이, 타이머, 카운터등을 IC, Tr등의 집적 소자로 대체시켜..
기계공학실험 - 자동화 실험
리포트 > 공학/기술    10페이지 
1. 실험 목적 전기를 이용한 기계의 구동에는 많은 방식들이 현재 존재하고 지금도 많이 개발 및 이용되고 있다. 공장뿐만 아니라 일반 가정 및 빌딩 등의 건물에도 능동화 된 많은 전기시설들이 존재하고 이를 ..
[디지털논리회로] Smart Traffic Light Controller 설계(STLC 설계)
리포트 > 공학/기술    13페이지 
Digital Logic Design Project - Smart Traffic Light Controller - ∙목차 - Specification of STLC - I/O signal description - Block diagram of system - State diagram - Verilog HDL source code - Test pl..
컴퓨터 네트워크 보안 확보를 위한 접근통제
리포트 > 공학/기술    8페이지 
컴퓨터 네트워크 보안 확보를 위한 접근통제 (Access Control) 1. 들어가며 대부분의 컴퓨터 시스템에 있어서 다양한 컴퓨터 자원을 사용하기 위해서 요구되는 사항은 천차만별이다. 예를 들어 어떤 정보는 모든..
퍼지 제어이론
리포트 > 공학/기술    13페이지 
퍼지 제어이론 1 개요 퍼지이론은 1965년 L. A. Zadeh 에 의해 처음으로 소개된 후 많은 분야에서 빠르게 응용되어지고 있다. 기존의 디지털 논리체계는 0과 1의 의미가 확실한 반면, 퍼지 논리는 어떤 집합에..
마이크로프로세서응용 실험 - 프로그램이 가능한 타이머(PIT)
리포트 > 공학/기술    13페이지 
1. 제목 - Lab8. 프로그램이 가능한 타이머(PIT) 2. 목적 - 프로그래밍이 가능한 타이머(PIT: Programmable Interval Timer)의 동작과 사용 방법 이해한다. - PIT의 여섯 종류의 동작 modes를 살펴보고 각 mod..
LSI Logic Storage 제안서
비지니스 > 제안서    79페이지 
LSI Logic사의 스토리지에 대한 상세 제안서 LSI Logic사의 스토리지에 대한 상세 제안서로 약 80여 페이지 분량이며, NAS, DAS, SAN 등 모든 방식의 스토리지에 대해 기술되어 있다. 1. LSI Logic Corp. 소개 ..
전자공학 논리회로 실험 - Logic 연산과 Gates
리포트 > 공학/기술    6페이지 
Logic 연산과 Gates 1. Diode logic 연산 ☞ 학습목표 AND, OR diode circuit 의 특성을 diode logic 연산으로 알아보자. ☞ 실험 1. AND gate AB A·B 5V 5V 5.15V 5V 0V 0.64V 0V 5V 0.64V 0V 0V 0.64V 2..
국내 Logics(non-Microcom)의 시장동향(2005년까지) [PDF]
비지니스 > 경제동향    5페이지 
본 컨텐츠는 시장조사, 수요예측 전문업체인 ㈜밸류애드에서 Logics(non-Microcom)에 대한 시장동향 정보입니다. 작성일자를 반드시 확인하시고, 최근에 작성된 정보를 구매하시기 바랍니다. 본 컨텐츠에서..
[공학] 스탑워치 VHDL 설계
리포트 > 공학/기술    10페이지 
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_..
  다음