로고
(검색결과 약 227개 중 8페이지)
[디지털시스템설계] VDHL을 이용한 Dot-matrix활용
리포트 > 공학/기술    14페이지 
≪VDHL을 이용한 Dot-matrix≫ ●목적● 수업시간에 vdhl을 이용하여 여러 가지 많은 실험들을 했었다, 그 중에서도 도트매트릭스 실험을 했을때가 가장 기억에 남아서, 이번 프로젝트 때 도트매트릭스를 이용하여 ..
논리게이트 - VHDL 설계 언어 실습
리포트 > 공학/기술    26페이지 
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample ..
치아 미백에 대한 최근 연구 경향에 관한 고찰
논문 > 의약학분야    1페이지 
치아 미백제가 치아와 치과 재료에 미치는 영향에 관한 고찰 최근 외모에 대한 관심이 급증하면서 치아 미백에 대한 많은 연구들이 이루어지고 있다. 치아 미백은 치아를 삭제하지 않고 간단하게 치아의 색상을 ..
[마이크로컴퓨터] 7-세이그먼트 실험
리포트 > 공학/기술    7페이지 
[마이크로컴퓨터] 7-세이그먼트 실험 ● 착시를 이용한 두 자리 7-세그먼트 LED 디스플레이 ● 실험 목적 (1) 타이머/카운터0 오버플로 인터럽트 사용 방법을 익힌다. (2) 두 곳을 빠른 시간차로 번갈아가며 디스..
[사례 분석] 네오세미테크
비지니스 > 사례분석    34페이지 
네오세미테크 목차 네오세미테크 소개 유망기업이었던 네오세미테크 우회상장 네오세미테크의 회계부정 회계감사법인 소액주주들의 소송 결론 네오세미테크 네오세미테크 관련 뉴스 네오세미테크 네오세미테크 네..
기계공학실험(엔코더)
리포트 > 공학/기술    8페이지 
□ Encoder의 용도 - Encoder 는 회전각도, 위치 이동량과 같은 아날로그 값을 디지털 값과 같이 취급할 수 있도록 코드화 전기신호로 출력하는 변환기이다. 컴퓨터 주변기기. 계측기기, 산업용 로봇, NC 공작기..
System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현
리포트 > 공학/기술    47페이지 
최종 프로젝트 Digital Clock 설계 [목 차] 1. 시계 블록도(1page) 2. VHDL 소스 설명(2~42page) ① easy_clock.vhd ② clock.vhd ③ stopwatch.vhd ④ setclock.vhd ⑤ setalarm.vhd ⑥ alarm_dot.vhd ⑥ seven..
[전자공학] 마이크로 응용설계- 도서관 좌석 시스템
리포트 > 공학/기술    12페이지 
마이크로 응용설계 도서관 좌석 시스템 목차 1. 설계 목표 2. 좌석 시스템 예시 3. Flow Chart 4. 제작 과정 5. 완성사진 6. Trouble Shooting 7. 소스 코드 및 주석 설계 목표 입력 : 키패드를 통하여 자리 인..
전자기 유도를 이용한 멈추지 않는 팽이
리포트 > 공학/기술    14페이지 
전력기기실험 전자기 유도를 이용한 멈추지 않는 팽이 Contents 설계목표 13 Block Diagram and 관련이론 245 팽이의 회전 원리 회로도 and 회로 전체 동작 원리 작품 사진 6 Trouble Shooting 7 설계일정 and 역..
[레포트] 맥박센서 제작
리포트 > 공학/기술    13페이지 
report 제목 : 맥박센서 제작 작품 설명 CDS로 혈액의 농도를 감지하여 비교기에서 증폭 후 ADC로 디지털화 한후그 값을 AT89C51로 처리 후 Segment에 출력한다. 작품 부품 (1) AT89C51 (2) 7-segment (comm..
BTL,BTL분석,BTL사례,옥외광고,옥외광고사례,옥외광고분석,Outdoor Adberrtising
리포트 > 경영/경제    12페이지 
광고계 동향(BTL) [옥외광고] Outdoor Advertising PPT란 옥외광고란 옥외광고 사례 옥외광고의 특징 결론 불특정 다수를 대상으로 하여 옥외의 일정공간에서 일정기간동안 계속적으로 시각적 자극을 주는 광..
엘지전자,3D,3D시장,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p
리포트 > 경영/경제    17페이지 
목차 서론 1. INFINIA 선정 동기 2. LG 전자 소개 3. TV시장 현황 4. LG전자 INFINIA 본론 5. S.T.P 분석 6. SWOT 분석 7. 4P 분석 결론 1. INFINIA 선정 동기 삼성은 3D LED TV를 런칭함에 있어 기존 브랜드..
tradeKorea를 활용한 전자무역 성공사례
리포트 > 공학/기술    9페이지 
tradeKorea를 활용한 전자무역 성공사례 1. tradeKorea를 함께 LED로 세계를 밝히다 * 인탑스(주) 개요 혁신을 통한 최우수 기업이 되도록 하겠습니다. 1981년 인탑스(주) 설립후 1992년 전북 정읍시에 별도법..
논리회로실험 - encoder decoder 7segment[7세이그먼트]
리포트 > 공학/기술    24페이지 
실험 목적 - Encoder, Decoder를 이해하고, 특성을 실험으로 익힌다. - 7 - segment LED decoder를 이해하고, 특성을 실험으로 익힌다. 실험 과정 1.4X2 encoder의 회로를 구현하기 위해 Quartus Ⅱ를 이용하여 인..
마이크로프로세서 응용 및 실험 - ADC UART
리포트 > 공학/기술    9페이지 
마이크로프로세서 응용 및 실험 - ADC UART 1. 설계과제의 목표 및 제한조건 ◎ 제목 : 통신을 이용한 시간설정 기능이 있는 간이 시계 ●시간(0~59초)을 PC화면에 통신을 이용하여 표시 ●제한조건 - 준비물 : ..
  이전    다음