로고
(검색결과 약 12,722개 중 55페이지)
[디지털 시스템 설계 및 실험] 4bit ripple counter
리포트 > 공학/기술    3페이지 
디지털 시스템 설계 및 실험 결과보고서 실험제목 Counter 실험목표 1. JK 플립플롭 제작 2. 4bit Ripple Counter 제작 실험결과 1. JK 플립플롭 제작 코딩module jk(J,K,C,Q,Q_); input J,K,C; output Q,Q_; wir..
매체 활용 수업의 설계
리포트 > 교육학    25페이지 
매체 활용 수업의 설계 매체 목 차 매체의 정의 및 종류 매체 이론 매체 활용 모형 : ASSURE 모형 매체의 정의 매체의 속성 매체의 기능 매개적 보조 기능 정보 전달 기능 교수 기능 매체 사용시 고려사항 매체..
[인적자원관리] 인적자원관리의 기능, 직무설계의 원칙, 노사관계
리포트 > 경영/경제    7페이지 
[인적자원관리] 인적자원관리의 기능, 직무설계의 원칙, 노사관계 목차 인적자원관리 Ⅰ. 인적자원관리의 기능 Ⅱ. 직무설계의 원칙 1. 직무단순화 2. 직무순환 3. 직무확대 4. 직무충실화 Ⅲ. 노사관계 1..
개념, 정의, 특징, 특성, 문제점
관리혁신과 인적자원혁신을 통한 조직설계
리포트 > 경영/경제    2페이지 
관리혁신과 인적자원혁신을 통한 조직설계 1. 관리혁신과 조직설계 1) 의의 관리혁신이란 조직구조, 절차, 관리방법, 통제시스템 등 조직의 사회적 시스템에서 발생되는 아이디어 전반을 실용화하는 과정이라고 ..
직무설계와 인사고과의 개념(직무확대, 직무충실, 인사고과)
리포트 > 경영/경제    3페이지 
직무설계와 인사고과의 개념(직무확대, 직무충실, 인사고과) ... 자세한 내용은 본문 참고
개념, 정의, 특징, 특성, 과제
태양광 RC 비행기 개념설계보고서
리포트 > 공학/기술    21페이지 
[ 개 요 ] 최근에 태양광 발전 시스템의 비약적인 발달에 발맞춤하여 그 시스템을 최소간단화 하여 이해하는 동시에 이를 비행체에 접목시켜 항공산업의 유망한 개척로를 내다볼수 있는 기회를 가진다. 또한 창..
예산변경(설계변경또는추가공사)신청서
서식 > 건설서식    1페이지 
예산변경(설계변경 또는 추가공사)신청서 (관리번호 :) (실행 누락 항목 변경 신청) 공사명 결 재기술부 담당 사장 공무부 공급가 계약금액 당초금액 변경금액 관리부 공사기간 ..
[전자기학] 변압기 설계 레포트
리포트 > 자연과학    11페이지 
1. 설계 1.1 일정표 구분 5주 6주 7주 8주 9주 10주 11주 12주 13주 14주 15주 예비제안서 작성 재료구입 설계 및 중간보고 제작 및 Test 발표 및 결과 보고 1.2 관련이론 ‧ 변압기 변압기란 유도성 전..
조직구조와 조직설계의 의의
리포트 > 경영/경제    2페이지 
조직구조와 조직설계의 의의 조직구조(organization structure)는 조직의 체제를 말한을. 인간이 인간의 모양을 형성하는 골격을 가지고 있는 것과 같이, 조직은 조직을 형성하는 구조를 갖는다. 조직의 구조는 ..
개념, 정의, 특징, 특성, 과제
[호텔주방관리] 호텔레스토랑 주방관리 - 호텔 레스토랑의 주방설계와 배치, 호텔식당 주방조직과 직무, 호텔주방의 위생관리와 안전관리
리포트 > 경영/경제    18페이지 
[호텔주방관리] 호텔레스토랑 주방관리 - 호텔 레스토랑의 주방설계와 배치, 호텔식당 주방조직과 직무, 호텔주방의 위생관리와 안전관리 목차 [호텔주방관리] 호텔 레스토랑 주방관리 I. 주방관리의 개념 및 ..
개념, 정의, 특징, 특성, 문제점
조직구조론상 기술 분류와 조직설계
리포트 > 기타    1페이지 
조직구조론상 기술 분류와 조직설계 1. 조직 구조 특성 일상적 기술을 사용하는 부서는 고도의 규정과 절차 의사결정의 집권화 등 기계적 특성을 나타내며, 비일상적인 기술을 사용하는 부서는 자유로운 의사소통..
정보통신_회로설계_신입_자기소개서_샘플
서식 > 자기소개서    3페이지 
정보통신_회로설계_신입_자기소개서_샘플 <세부내용> 1.지원동기 및 포부 "언제나 마음에 태양을 품고 살아가자." 생략 2.성장과정 항상 성실하고 올바르게 사시는 아버지와 그분을 항상 신뢰하며 내조하..
정보통신, 통신, 회로설계, 설계, 회로, 신입자소서
[VHDL] Digital stop watch[디지털 스톱 워치] 설계 소스 - 키트와 쿼터스를 이용
리포트 > 공학/기술    11페이지 
Digital Stop Watch 1. 수행 목적 Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital 시계가 익숙한 현 세대..
예산변경(설계변경또는추가공사)신청서
서식 > 건설서식    1페이지 
예산변경(설계변경 또는 추가공사)신청서 (관리번호 :) (실행 누락 항목 변경 신청) 공사명 결 재기술부 담당 사장 공무부 공급가 계약금액 당초금액 관리부 변경금액 공사기간 ..
[전자공학] 마이크로 응용설계- 도서관 좌석 시스템
리포트 > 공학/기술    12페이지 
마이크로 응용설계 도서관 좌석 시스템 목차 1. 설계 목표 2. 좌석 시스템 예시 3. Flow Chart 4. 제작 과정 5. 완성사진 6. Trouble Shooting 7. 소스 코드 및 주석 설계 목표 입력 : 키패드를 통하여 자리 인..
  이전    다음