로고
(검색결과 약 23,256개 중 30페이지)
그래픽디자이너이력서샘플(감각있는일러스트디자인구성)
서식 > 이력서    1페이지 
사진 (3cm×4cm) 성명 홍길동 (영문) Hong Gil-dong 주민등록번호 123456-1234567 (만 00세) 전화번호 02-123-1234 핸드폰 012-345-6789 주소 서울시 강남구 삼성동 123 E-mail 기간 학교명 학과 2000 ~ 20..
인간관계,심리학,
리포트 > 사회과학    18페이지 
인간관계 심리학 발표 발표 순서 허세의 이론적 설명 세대별 허세 사례 결론 이론설명 사례설명 결론 1. 허세의 이론적 설명 인간관계 심리학 4조 인간관계 심리학 4조 사람들은 누구나 알게 모르게 허세를 ..
네트워크 프로그래밍 - 멀티 스레드를 이용한 파일 수신 서버 작성
리포트 > 공학/기술    10페이지 
네트워크 프로그래밍 - 멀티 스레드를 이용한 파일 수신 서버 작성 [목차] 1 소스코드 설명 2 실행화면 캡쳐 Server #pragma comment(lib, ws2_32 ) #include [winsock2.h] #include [stdlib.h] #include [stdi..
페이스북 마케팅,페이스북 성공전략사례,페이스북 온라이마케팅,모바일전략,브랜드마케팅,서비스마케팅,글로벌경영,사례분석,swot,stp,4p
리포트 > 경영/경제    17페이지 
히스토리 하버드 -] 스탠퍼드,콜롬비아,예일 -] 아이비리그 -] 대부분의 대학교 고등학교까지 서비스영역 확대 전자우편을 가진 사람이라면 누구나 가입가능 2004 2005 2006 히스토리 2004 2005 2006 2007 2008 Fa..
혈압측정과 고혈압의 병태생리 및 치료
리포트 > 의/약학    47페이지 
혈압측정과 고혈압의 병태생리 및 치료 Index I. 서론 2 II. 실험 1.자세에 따른 혈압 및 MAP비교 2.Cold Pressure Test 3.운동 후의 혈압 변화 4.Valsalva maneuver 5.추가실험- 혈압에 대한 교감신경의 영..
마케팅 레포트
리포트 > 경영/경제    14페이지 
마케팅 레포트 -제품수명주기와 전략 목차 Ⅰ. 서론 Ⅱ. 본론 1. 도입기 : 아임왓치(I m watch) 1) 제품 선정이유 2) 현재 이 제품의 전략 3) 앞으로의 전략 2. 성장기 : 꼬꼬면 1) 제품 선정이유 2) 현재..
LG디스플레이 연구개발 직무 첨삭자소서
서식 > 자기소개서    8페이지 
저에겐 회로 관련 과목들이 흥미로웠고 자연스레 높은 학점들을 받을 수 있었습니다. 그 다음 학기도 전자회로 1, 회로 이론 2, 반도체 소자, 물리전자와 같이 Display에 필요한 과목들 위주로 배워 높은 학점들을..
회로, 과목, 이다, 위해, , 지식, 기술, 나가다, 싶다, 만들다, 노력, lgdisplay, 배우다, 가다, 늘다, 지금, display, oled, 이다, 되어다
[세세분류]국내 액정표시장치제조업의 산업동향(2003년부터 2005년 까지, PDF)
비지니스 > 경제동향    8페이지 
본 컨텐츠는 시장조사, 수요예측 전문업체인 ㈜밸류애드에서 액정표시장치제조업에 대한 시장동향 정보입니다. 작성일자를 반드시 확인하시고, 최근에 작성된 정보를 구매하시기 바랍니다. 본 컨텐츠에서는 ..
아파트광고,롯데캐슬,푸르지오,이편한세상,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p
리포트 > 경영/경제    32페이지 
Advertising Psychology Consumer Behavior Apartment House Message Strategy Analysis Contents 1. About apartment brand 2. Analysis about ‘Lotte Castle’ 3. Analysis about ‘e-편한세상’ 4. Evaluation Pr..
물리학 실험 - 옴의 법칙
리포트 > 자연과학    6페이지 
*제목: 옴의 법칙 *목적: 전자회로에 쓰이는 탄소저항이 옴의 법칙을 만족하는가 확인하고 옴의 법칙의 의미를 이해한다. 소형 전구에 대하여 옴의 법칙이 성립하는가, 확인하고 옴의 법칙의 의미를 이해한다. 소..
VDT
리포트 > 의/약학    2페이지 
VDT(Video Display Terminal) 증후군 및 관리에 대하여 1. 들어가며 컴퓨터 작업을 하다가 한두번은 손목과 팔목, 어깨가 아팠거나 눈이 침침하고 따가웠던 경험을 가지고 있을 것입니다. 그리고 대부분 별로 대..
제품수명주기,꼬고면,신라면
리포트 > 경영/경제    14페이지 
마케팅 레포트 -제품수명주기와 전략 목차 Ⅰ. 서론 Ⅱ. 본론 1. 도입기 : 아임왓치(I m watch) 1) 제품 선정이유 2) 현재 이 제품의 전략 3) 앞으로의 전략 2. 성장기 : 꼬꼬면 1) 제품 선정이유 2) 현재..
이녹스,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p
리포트 > 경영/경제    10페이지 
1. 기업소개 회사명 (주)이녹스 / INNOX corporation 사업 영역 Polymer Converting Base IT 소재 회로기판소재 / 반도체 PKG소재 / OLED Display 소재 설립일 : 2001년 11월 8일 대표이사 : 장경호 주소 아산사업..
[공학] 스탑워치 VHDL 설계
리포트 > 공학/기술    10페이지 
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_..
국내 하이쎌㈜의 기업분석(2003년까지)[pdf]
비지니스 > 기업분석    26페이지 
본 기업분석은 시장조사, 수요예측 전문기관인 ㈜밸류애드에서 제작된 자료로 <2004 기업분석 견본>이 무료로 제공되고 있으니, 충분히 검토후 구매하시기 바랍니다.[NL][NL]1999년부터 2003년까지 국내 상장기업..
  이전    다음