로고
(검색결과 약 206개 중 3페이지)
논리회로 - LED, 7-세그먼트의 활용법
리포트 > 공학/기술    4페이지 
1. 실험 목적 다이오드에 대한 기초지식 확립과 7-세그먼트의 활용법을 아는데 목적이 있다. 2. 다이오드 반도체 소자로서, 기본적인 기능으로는 한쪽으로만 전류를 흐르게 한다. 이러한 특징을 이용하여 역전..
광량계측 실험 보고서 모음집
리포트 > 공학/기술    32페이지 
광량 계측 실험 실험제목 : P#.1 LED파장측정 실험환경 : 온도 23°C, 습도 60% 실험방법 : ① Computer ON ② Keithley power ON ③ Power supply 선확인 ④ Fiber cable 확인 ⑤ LEOS 실행 -측정조건 : 노출시간..
광전자 소자
리포트 > 자연과학    8페이지 
광전자 소자 광전자 소자 1. 실험 목적 - 적색과 녹색 LED의 특성을 측정한다. - 7세그먼트로 숫자를 나타낸다. - 광커플러(optocoupler)를 통해 신호를 전달한다. 2. 관련이론 발광 다이오드 (a) 순방향..
LED에 대해서
리포트 > 공학/기술    15페이지 
LED의 원리 LEDLED(Light-Emitting Diode)는 P-N접합 다이오드의 일종으로,순방향에 전압이걸릴 때 단파장관(monochro-maticlight)이 방출되는 현상인 전기발광효과를 이용한 반도체소자이다. 즉 순방향 전..
[제안서] 회사소개 및 LED 사업 제안서
비지니스 > 제안서    39페이지 
회사소개 및 LED 사업 제안서 인사말씀 Contents ㈜ 회사소개 2008 2009 연혁 (LG 재단) 성장과정 “House Automation의 메카” 2009~ 2010 2010~ 2012 설립기 House automation 기반 구축 광통합UNIT제어를..
컴퓨터 응용 시스템 설계 실험 보고서 - verilog을 이용한 자판기 설계
리포트 > 공학/기술    5페이지 
Vending Machine Design Ⅰ. 서 론 Not gate와 Nand gate 및 D-Flipflop을 사용하여 Vending Machine을 설계해보고 몇몇개의 옵션사항을 추가적으로 설계해본다. Ⅱ. 본 론 1. (LAB 3-1) Coffee Sprite Vending Ma..
발광 다이오드 [LED]와 제너 다이오드의 사용법과 특성
리포트 > 공학/기술    6페이지 
1. 실험 목적 발광 다이오드 (LED)와 제너 다이오드의 사용법과 특성을 익힌다. 2. 실험 장비 DMM,저항(100Ω,220Ω,330Ω,2.2㏀,3.3㏀,1㏀) 다이오드(Slicon,LED,Zener(10V)),DC power supply 3. 실험방법 및 결과..
[화학공학실험] LED 및 LD특성평가
리포트 > 공학/기술    8페이지 
1.서론 1)실험목적 전도체와 LED, LD등의 개념과 원리를 익힌다. LED의 극성(순방향바이어스와 역방향바이어스)을 알아보고, LED와 LD의 특성평가를 통해 이것들이 의미하는 것을 알아본다. 2)이론 반도체는 전기..
에너지 공학 - LED와 OLED에 관해서
리포트 > 공학/기술    3페이지 
LED와 OLED 우리가 옛날에 사용했던 TV의 Display는 브라운관이라고 하는 뒤가 넓은 CRT라고 불리는 Display를 사용해왔다. CRT는 흔히 볼록이 라고 불렸는데 브라운관 뒷쪽에 3원색 광원의 빛을 내야 할 광원이..
실험보고서 - 광전소자
리포트 > 자연과학    6페이지 
광전소자 1. 실험목적 - 적색과 녹색 발광 다이오드(LED) 들에 대한 자료를 얻는다. - 7-세그먼트(seven-segment) 지시기로 숫자를 표시한다. - 광결합기를 통하여 신호를 전달한다. 2. 이론요약 * LEDs * ..
나노광개론
리포트 > 공학/기술    3페이지 
에너지 절감 소자로써 지금은 LED(Light Emitting Diode)라고 하여, 발광 다이오드라고도 하는 것이 각광 받고 있다. LED는 아직 여러 발전 단계에 있지만 현재에도 많은 곳에서 사용되고 있다. 우선 첫 번째로는 ..
마이크로프로세서 응용 및 실험 - Interrupt(인터럽트)
리포트 > 공학/기술    9페이지 
마이크로프로세서 응용 및 실험 - Interrupt(인터럽트) 1. 설계과제의 목표 및 제한조건 ◎ 모든 스위치 입력 처리는 인터럽트만 사용 ◎ 입력 : Push switch - 3개 ◎ 출력 : LED - 8개, 7-segment - 1개 ◎ Decod..
디지털공학 설계과제[7-세그먼트]
리포트 > 공학/기술    8페이지 
디지털공학 설계과제 7-Segment Indicator 7-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 배열시키고 각..
Global_Report-
리포트 > 경영/경제    13페이지 
[Global Report]- 3D TV 광고 경쟁 - 3D 열풍 국내 기업의 국내 광고 Samsung PAVV LG Infinia Samsung 3D LED TV [ 3D Truth In Old Masters ] Samsung 3D LED TV [ 3D Truth In Old Masters ] Samsung 3D Outdoo..
[디지털공학 설계] 7-세그먼트[7-Segment Indicator]
리포트 > 공학/기술    8페이지 
디지털공학 설계과제 7-Segment Indicator 1. 분석 7-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 배열..
  이전    다음