로고
(검색결과 약 601개 중 24페이지)
생물학 실험 - 동물 및 식물세포 관찰
리포트 > 자연과학    3페이지 
실험 보고서 # 6 날짜 . 과정 생물실험 조 학번 이름 담당조교 1. 실험 제목 : 세포 관찰 2. 목 적 가. 광학현미경의 사용법을 숙달한다. 나. 동물, 식물 세포를 비교, 관찰한다. 3. 실험 재료/기구 및 ..
화학결과 보고서 - 유리 기구의 불확실도 결정
리포트 > 공학/기술    4페이지 
화학결과 보고서 - 유리 기구의 불확실도 결정 1. 실험 목적 (Purpose) ①실험에서 사용되는 유리기구의 용도를 이해한다. ②주어진 실험기구의 사용법을 숙달한다. ③측정값을 유효숫자를 고려하여 처리하고 결과의..
논리회로 설계- 디코더, 인코더에 대해서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
논리회로 설계 - 디코더 인코어 보고서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
웹사업 소기업(직원10명이하) 문서체계
서식 > 회사서식    103페이지 
웹을 기반으로 인터넷사업을 하고 있는 소기업(인원10명이하)에서 사용할 수 있는 모든 문서체계및 서식양식, 서식 사용법 인터넷에서 사업을 기반으로 하는 소기업에서 유용하게 사용할 수 있는 서식체계를 잡았..
i message
리포트 > 경영/경제    32페이지 
i message Contents title 1 아이 메시지 아이메시지 등장 아이메시지란 무엇인가 사용법 아이메시지 등장 ‘하이브리드’ 메시징 서비스 무료문자 ! iOS5 아이메시지 등장, 카카오톡 vs 마이피플 판도 변할까 i..
월부할부매매계약서
서식 > 계약서    1페이지 
매도인과 매수인이 물건을 아래조건에 따라 계약한다는 내용의 매매계약서양식입니다. 월부(할부)매매계약서 제1 조매도인 은 매수인 에게 다음의 물건을 아래의 계약조건으로 매도하고 매수인 은 이를 승낙한다 ..
매도인, 매수인, 멸실, 월부금
놀이와 일상 관찰일지 만4세 1년치 (1학기,2학기 총평포함)
리포트 > 교육학    20페이지 
놀이와 일상 관찰일지 만4세 1년치 분량입니다. 1학기,2학기 총평 포함된 관찰일지 이며 피드백 받으면서 총평 부분에서 칭찬을 받았던 자료입니다. (1) 유아 1 - 일상생활 - 놀이활동 - 놀이활동 - 일상생활 - ..
영유아관찰일지, 만4세 관찰일지, 만4세 평가인증, 만4세평가제, 1학기관찰일지, 발달평가, 아동관찰일지, 평가인증관찰일지, 교육실습일지, 관찰일지
양식체험
리포트 > 생활/환경    14페이지 
양식 체험 식사 전 반드시 깨끗이 손을 씻음. 웨이터가 자리를 안내하면 가서 앉음. 모두가 앉으면 냅킨을 폄. 생선 요리를 먹을 시 뒤집지 않음. 접시에 입을 대고 먹지 않음. 식사 중 머리를 만지지 않음. 웨이..
일반물리 실험 - 휘트스톤 브릿지 시스템
리포트 > 자연과학    4페이지 
일반물리 실험 - 휘트스톤 브릿지 시스템 1. 목적 한 물질의 전도도(또는 비저항)는 그 물질의 전기적 성질에 관하여 매우 중요한 정보를 제공한다. 이를 실험적으로 결정하기 위하여 먼저 저항을 정확하고 정밀..
문법 - 관사 [ 冠詞, article]에 대해서
리포트 > 사회과학    19페이지 
관사 [ 冠詞, article] Ⅰ. 관사란 Ⅱ. 관사의 종류 Ⅲ. 관사 존재의 의의 Ⅳ. 관사의 사용 관사란 대개의 경우 명사 앞에 놓여서 가벼운 제한을 가하는 낱말. 각 언어마다 관사의 사용 유무, 사용법들이 상이하고 ..
matlab을 이용한 Automatic Control System 해석
리포트 > 공학/기술    78페이지 
MATLAB 을 이용한Automatic Control System 해석 MATLAB의 기초 사용법 목 차 MATLAB의 작업환경 MATLAB에서 쓰이는 기호들 함수의 표현 벡터와 행렬의 표현 및 계산 MATLAB의 기본 명령어들 그래프 그리기 MATLAB..
마케팅 제품관리
리포트 > 경영/경제    21페이지 
제품(Product)관리 마케팅믹스 관리 제품의 개념과 차원 ○제품(product) - 소비자가 욕구를 충족하기 위해 구매하는 모든 것들 ○제품의 차원 -핵심제품(core product) 소비자들이 제품을 구매할 때 추구하는..
[디지털 회로 설계] 4-Bit D Flip Flop 설계
리포트 > 공학/기술    8페이지 
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gat..
대리점 교육 준비 자료 및 교육 방안
비지니스 > 기타    2페이지 
대리점 교육 준비 자료 및 교육 방안 1 일차 시간별 교육 범위 및 내용 준비 자료 및 부서 09:30 10:00 회사 소개 및 교육 일정 안내 제품의 특징 및 작동 원리     장점     작동원리  ..
교육학
  이전    다음