로고
(검색결과 약 20,201개 중 15페이지)
지역-기업-대학 네트워크를 통한 청년실업 해결방안
리포트 > 경영/경제    5페이지 
지역-기업-대학 네트워크를 통한 청년실업 해결방안 - 지역거버넌스와 대학체제개편을 통해서 보는 청년실업 들어가며 청년실업의 원인과 현황에 대해서는 진보진영내에서 상당히 정리된 분석과 그에 따른 입장..
회복지 프로그램 평가모형(모델)
리포트 > 경영/경제    4페이지 
회복지 프로그램 평가모형(모델) 목차 회복지 프로그램 평가모형(모델) I. 목표달성 평가모델 II. CIPP 평가모델 III. 판단지향 평가모델 IV. 제4세대 평가모델 V. 논리모델 * 참고문헌 ...
개념, 정의, 특징, 특성, 과제
가치의 개념
리포트 > 경영/경제    2페이지 
가치의 개념 일반적으로 가치(value)란 어떤 물 ․ 현상․ 행위 등이 인간에게 의미 있고 바람직한 것임을 나타내는 개념이다. 여기에서 '인간에게 의미 있고 바람직한 것'이 인간의 역적 경험을 통해 축적된 ..
개념, 정의, 특징, 특성, 과제
정책평가의 절차와 방법 및 한계
리포트 > 사회과학    11페이지 
정책평가의 절차와 방법 및 한계 목차 * 정책평가의 방법과 한계 Ⅰ. 정책평가의 절차 1. 정책목표의 파악 2. 평가기준의 설정 3. 인과모형의 설정 4. 평가연구설계의 개발 5. 자료의 수집 및 분석 Ⅱ. 정책..
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
논리게이트 - VHDL 설계 언어 실습
리포트 > 공학/기술    26페이지 
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample ..
[디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계
리포트 > 경영/경제    9페이지 
1. Background - 산술논리 연산장치 ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. 일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산..
컬러마케팅이란
리포트 > 경영/경제    12페이지 
컬러마케팅 컬러마케팅의 개념 색상으로 소비자의 구매 욕구를 자극하여 판매를 극대화 시키는 전략. 람은 색채에 대해 감성적인 반응을 보이므로. 이것이 곧 구매충동과 직결된다는 논리. 컬러마케팅의 시초..
포스트모더니즘의 이점과 해악
리포트 > 예체능    3페이지 
포스트모더니즘의 이점과 해악 1. 들어가며 포스트모던 회는 지금까지 유지되던 패러다임이 바뀐 회이다. 이런 회가 전적으로 좋다거나 전적으로 나쁘다고 말할 수 없다. 여기서는 포스트모더니즘적 대중..
낙태 찬성,반대 논쟁 및 낙태행위에 대한 나의의견
리포트 > 사회과학    6페이지 
낙태 찬성,반대 논쟁 및 낙태행위에 대한 나의의견 레포트입니다. 내용이 꽉꽉 차있는 좋은 레포트입니다 그대로 제출하셔도 좋은성적 받을수 있을거라 생각합니다 :) 1. 낙태 정의 2. 낙태 찬성의견 측 논리 ..
낙태 찬성, 낙태 반대, 낙태, 낙태 찬반, 유산, 인공유산, 낙태 합법, 해외낙태, 태아, 낙태 예방
[회복지실천] 직접적 개입
리포트 > 경영/경제    2페이지 
[회복지실천] 직접적 개입 우리는 클라이언트가 가지고 있는 어려움의 양상과 범주가 매우 다양하다는 것을 설명하는 많은 이론들을 접해 왔다. 따라서 개입전략도 이처럼 다양해질 수 있다. 그러므로 일부의 ..
개념, 정의, 특징, 특성, 과제
재벌경제의문제점
리포트 > 경영/경제    15페이지 
재벌경제의 문제점 재벌경제의 문제점 목 차 재벌화의 정의 재벌의 출현 배경 다변화와 재벌화 재벌화에 따른 왜곡된 논리 재벌화에 따른 문제점 재벌경제의 문제점 1. 재벌화와 재벌의 개념 ◆ 재벌화란 -기업합..
[디지털시스템 실험] AND OR NOT 게이트등 실험 보고서
리포트 > 공학/기술    10페이지 
1. 목적 ·기본 논리 게이트인 AND, OR, NOT 게이트를 알아본다. ·기본 게이트의 확장으로 NAND, NOR, XOR, XNOR 게이트를 알아본다. 2. 이론 ①AND 게이트 AND 게이트는 두 개 또는 그 이상의 입력과 하나의 ..
수학기초론의 대두와 전개에 대하여
리포트 > 공학/기술    3페이지 
수학기초론의 대두와 전개 1. 수학기초론의 대두 배경 19세기에 비유클리드 기하학의 등장은 가장 확실하고 학문의 전형으로 일컬어지는 기하학에서 일어난 일이었기 때문에 비단 수학에서만 아니라 학문전반에 ..
[디지털논리회로] 메모리의 종류와 동작
리포트 > 공학/기술    28페이지 
▷차례 1. 메모리의 정의 2. 메모리의 동작 ● Address bus와 data bus ● 메모리의 주소 3. 메모리의 성능 표시 ● Access Time (tAC) ● Cycle Time (tCLK) 4. Memory Package 형태상 구분 ● Simm과 DIMM 1)SIMM ① 30..
창조론과 진화론
리포트 > 인문/어학    163페이지 
창조론과 진화론에 대한 토론 내용 창조과학에 대해서 토론해봅시다. 최근 우리나라에도 창조과학회 과학자들이 다녀갔다고 합니다. 도대체 창조과학이란 무엇인가? 무엇을 논리의 기반으로 하는가? 누구를 포..
  이전    다음