로고
(검색결과 약 139,850개 중 12페이지)
인간과 생활환경- 기사거리와 생각
리포트 > 자연과학    6페이지 
REPORT 과목 담당교수 학과 학번 이름 제출일 [뉴스엔 이재환 기자] 지금까지 북극과 남극의 녹아내리는 빙하를 통해 지구온난화의 ‘드러난 증거’만을 보아왔다면 이제 바다 밑에 펼쳐진 ‘드러나지 않은 ..
작업일보및 노무비명세서(세무서용),출근일보
서식 > 건설서식   
작업일보 및 노무비 명세서,출근일보관련입니다. 작업현장에서 인부들 관리 및 세무서 제출용 자료입니다. 작업일보 노무비명세서(세무서용,소득공제및 4대보험관련건),출근일보 작업일보 출근일보 노무비명세서
출근일보, 노무비명세서
[사회복지정책론] 선별주의[selectivism] 대보편주의[universalism]
리포트 > 사회과학    4페이지 
사회보장제도에서의 선별주의와 보편주의(selectivism vs. universalism) 목 차 [서론] [본론] 선별주의와 보편주의 선별주의 [맺음말]r 사회보장제도에서의 선별주의와 보편주의(selectivism vs. universalis..
Google 구글 STP분석
리포트 > 경영/경제    3페이지 
Google SWOT분석 1. 시장세분화 (Segmentataion) 일반적으로 시장세분화는 인터넷 이용자의 나이, 성별과 같은 인구통계적 변수로 구분하여 시장세분화를 많이 하지만 포털사이트에서 세분화를 할 때에는 크게 ..
[영어, 영작] 우리나라 역사를 영어로 설명(작문) 및 나의 의견
리포트 > 인문/어학    3페이지 
우리나라는 아주 오래된 역사를 가진 나라입니다. 전쟁 이후 우리나라는 폐허가 되었습니다. 우리나라는 역사적으로 어려운 시기도 굉장히 많았습니다. 이후 신라가 삼국을 통일하게 됩니다. 첫 번째 왕인 왕건은 ..
우리나라, 나라, 시기, 역사, 문화, , 되어다, 사람, ntry, 많다, however, 위해, 현재, , 등장, orea, 이다, shistory, sincethen, 아주
더 골(The Goal)을 읽고 감상문 및 느낀점
리포트 > 독후감/서평    3페이지 
Report ( 더 골(The Goal)을 읽고 감상문 및 느낀점 ) 학교때문이라고 핑계를 대보지만 어쩌면 집에서 낭비하는 시간들 탓에 책읽는 시간이 줄어들고 그덕에 한권의 책을 읽어 내려가는데 참 오래걸린듯하다. ..
에뛰드하우스 EtudeHouse 마케팅전략 사럐분석및 새로운 마케팅 STP,4P전략 제안보고서
리포트 > 경영/경제    18페이지 
에뛰드하우스 마케팅전략 1. 에뛰드하우스 마케팅 STP 전략 (1) 시장세분화(Market segmentation) 국내 화장품 소비자를 인구통계학적 변수(나이), 행동적 변수(소비자가 추구하는 편익)에 따라 세분화 했다. ..
청소년기 심리적 발달(정서발달, 인지발달)
리포트 > 경영/경제    3페이지 
청소년기 심리적 발달(정서발달, 인지발달) I. 정서발달 청소년기는 다른 연령대보다 급격한 정서 변화를 경험하는 것으로 알려져 있다. 아동기와 비교해 보면 청소년기에 나타는 정서적 특징은 몇 가지로 요약..
개념, 정의, 특징, 특성, 과제
전문공제연대보증채무추인
서식 > 건설서식    1페이지 
별지서식 보 제3-3호 연대보증채무추인서 ═══ 당사(본인)는 조합원 (대표자 : )의 아래 사유로 별첨 채무에 대하여 채무추인 연대보증인으로서 연대보증책임을 부담하고 차후 본 채무를 이행하지 않을 경우 출자..
4대보험가입서식
서식 > 회사서식    3페이지 
[별지 제14호서식] (제1쪽 앞면) 처리기간구비서류 및 기재요령은 뒷 면을 참고하시기 바라며, 건강보험의 경우 피부양자가 있을 때에는 제2쪽의 “직장가입자자격취득 신고서(피부양자가 있는 경우) 에 작성하여 ..
4대보험가입서식
서식 > 세무회계서식    3페이지 
[별지 제14호서식] (제1쪽 앞면) 처리기간구비서류 및 기재요령은 뒷 면을 참고하시기 바라며, 건강보험의 경우 피부양자가 있을 때에는 제2쪽의 “직장가입자자격취득 신고서(피부양자가 있는 경우) 에 작성하여..
누가한글을창제하였나
리포트 > 사회과학    2페이지 
누가 한글을 창제하였나 본인의 의도와 상관없이 의식에 거듭 떠올라 그 사람의 정신생활을 지배하고 행동에까지 영향을 미치는 관념을 말하는 심리학 용어로 고정관념이란 단어가 있다. 누군가 한글을 세종대왕..
웹사이트 및 프로그램 평가(웹사이트의 디자인, 웹사이트평가, SW품질평가)
리포트 > 사회과학    10페이지 
웹사이트 및 프로그램 평가(웹사이트의 디자인, 웹사이트평가, SW품질평가)에 대한 레포트 자료. 웹사이트 및 프로그램 평가(웹사이트의 디자인, 웹사이트평가, SW품질평가) 목차 웹사이트 및 프로그램 평..
개념, 정의, 특징, 과제
(무선통신케이블)기술혁신개발사업계획서
비지니스 > 사업계획서    15페이지 
접수번호 중소기업 기술혁신개발사업계획(신청)서 개발기술명 (기술분야) 휴대용 단말기 무선통신 케이블장치 (정보통신장비제조분야) 주관기업 기업명 ○○○○(주) 설립년도 1997. 5. 1 주소 (○○-○○)○○도○○시○○..
[공학] 스탑워치 VHDL 설계
리포트 > 공학/기술    10페이지 
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_..
  이전    다음