로고
(검색결과 약 179개 중 9페이지)
쵸퍼/ 인버터 제어장치의 사용법(쵸퍼모드)
리포트 > 공학/기술    7페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 쵸퍼/ 인버터 제어장치의 사용법(쵸퍼모드)에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목..
쵸퍼/ 인버터 제어장치의 사용법(쵸퍼모드), 모드(MODE)선택부, 제어 입력(CONTROL INPUTS)부, 제어 출력(CONTROL OUTPUTS)부, 직류전원 1 (DC SOURCE 1)과 직류전원 2 (DC SOURCE 2), PWM-제어 쵸퍼 모드(CHOP.PWM)
교류회로에서 벡터 및 페이저
리포트 > 공학/기술    9페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력계통실습 과목 강의에 이용되는 자료로서 교류회로에서 벡터 및 페이저에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1-1 직렬 교류 회로에서의..
교류회로에서 벡터 및 페이저, 직렬 교류 회로에서의 벡터 및 페이저, 병렬 교류 회로에서의 벡터 및 페이저
외팔보 처짐
리포트 > 자연과학    6페이지 
1.실험이론 l P X L-X 1.1힘의 이론적 계산 이 상태에서 외팔보의 처짐을 계산해 보면 (1) 여기서, P:힘 :보의 처짐 l:보의 길이 E:탄성 계수 I:관성모멘트(=) (1)식을 P에 대해서 구해보면 이론적..
연산증폭기의 작동원리
리포트 > 자연과학    14페이지 
연산증폭기의 동작원리와 반전증폭기,비반전증폭기 contents 목차 1. 연산증폭기(Operational -Amplifiers)의 구성과 특징 2. 연산증폭기의 동작원리 3. 반전증폭기와 비반전증폭기의회로와 입출력파형 1-1. 연..
논리게이트 - VHDL 설계 언어 실습
리포트 > 공학/기술    26페이지 
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample ..
오실로스코프의 예비실험 레포트
리포트 > 자연과학    9페이지 
Oscilloscope 사용법 1. 실험목적 Oscilloscope의 원리를 이해하고 그 작동 방법을 익힌다. 2. 실험원리 Oscilloscope는 전압의 파형을 시각적으로 나타내어 측정할 수 있는 장치로서 CRO(cathode ray oscillosc..
자연과학
미적분기
리포트 > 공학/기술    8페이지 
1. 제목 : 미적분기 2. 목적 :신호증폭에 관련된 실험도구들에 대해 알고 활용법을 익힌다. 계측 회로 (미․적분 회로)를 직접 구성하여 보고 그 원리를 이해한다. 구성한 회로로 입력신 호가 미․적분 되어 출력..
물리학및 실험 - 공명
리포트 > 공학/기술    5페이지 
1. 목적 열린 관과 막힌 관에서 소리의 공명현상과 정상 파형을 관측하고, 관 속에서의 소리의 속도를 알아본다. 2. 이론 가. 음파 스피커의 떨림판이 떨면 음파가 곤기 중으로 전파된다. 음파는 스피커 떨림..
기초회로실험 - Oscilloscope and Function Generator
리포트 > 공학/기술    4페이지 
기초회로실험 - Oscilloscope and Function Generator 1.서론 (1) 오실로스코프    오실로스코프란 함수 발생기로부터 받은 시간에 따른 입력전압의 변화를 화면에 출력하는 장치이다. 전기진동이나 펄스처럼..
[일반물리학실험] 페러데이법칙 결과
리포트 > 자연과학    5페이지 
1. 목적 패러데이를 따라서 간단한 실험을 통하여 전자기이끎 현상의 존재를 실감하고, 또 같은 규격의 직류 전동기를 벨트로 연결하여 돌리으로서, 자기마당 안에서 코일이 회전할 때 생기는 전위차를 조사하여 ..
단상 다이오드 정류기(1)
리포트 > 공학/기술    10페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 단상 다이오드 정류기(1)에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 ..
다이오드 동작특성, 전력다이오드 특성곡선, 반파정류기, 환류다이오드를 갖는 정류기
미적분회로(differentiatorintegrator)
리포트 > 공학/기술    9페이지 
계측 및 신호처리 -미/적분회로(differentiator/integrator)- 1. 실험제목 미/적분회로(differentiator/integrator) 2. 실험목적 구성해 보고 구성한 회로의 입력신호가 되어 출력되는 모습을 확인한다. 3...
방사선 계측 실험
리포트 > 공학/기술    4페이지 
방사선 계측 실험 레포트 1. 실험제목 - GM 계수관의 특성곡선 및 Scintillation detector를 이용한 방사능 계측 2. 이론적배경 - GM계수관은 가장 오래된 방사선 검출기의 하나로, 방사선 종류에 관계 없이 방..
MOSFET Buck-Chopper
리포트 > 공학/기술    11페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 MOSFET Buck-Chopper 에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 ..
MOSFET Buck-Chopper, 벅-쵸퍼, MOSFET 벅-쵸퍼의 동작, 출력전력 대 입력전력
다이오드 3상 정류기
리포트 > 공학/기술    10페이지 
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 다이오드 3상 정류기에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. ..
3상3펄스정류기, 3상6펄스정류기
  이전    다음