리포트 > 공학/기술 5페이지 
Structural Designers Engineers
Eduardo Torroja
1. Eduardo Torroja는 누구인가
1899. 8. 27 마드리드~1961. 6. 15 마드리드.
스페인의 건축가·공학기사
콘크리트 셸 구조 디자인의 선구자
- 1923년 공학기사 ..
리포트 > 사회과학 4페이지 
❏ Leonhard Euler
국 적
스위스
연 대
1707년 4월 15일 ~ 1789년 9월 18일
활동분야
수학, 물리학
출생지
스위스 바젤
주요저서
《미분학 원리》(1755)
《독일 왕녀에게 보내는 편지》
❍ 공학을 공부하며 ..
리포트 > 공학/기술 25페이지 
흡 착 (Adsorption)
실험목적
흡착에 관한 개념을 이해 하고 활성탄을 흡착제로 사용하여 메틸렌 블루의 흡착을 흡광도를 이용하여 알아보고, 이 데이터로 파과점과 파과곡선을 구해보고 활성탄의 흡착량을 알아본..
리포트 > 공학/기술 5페이지 
플라즈마절단과 레이저 절단의 원리
1. 플라즈마 및 레이저 발생원리
◈플라즈마 발생원리
플라즈마란 초고온에서 음전하를 가진 전자와 양전하를 띤 이온으로 분리된 기체 상태를 의미하며 또는 아크 방전의 전..
리포트 > 교육학 24페이지 
기초학문과 응용학문 간의 불평등
Report
( 기초학문과 응용학문 정의, 특징, 불평등 현 실태, 사회확 이론, 응용 학문 불평등, 신자유주의 비판, 갈등주의 이론, 대학교육에서 기초학문 필요성, 해결방안 )
..
리포트 > 자연과학 8페이지 
동물 바이러스
(Animal Virus)
(부제 : 동물 바이러스의 특징과 복제 과정)
「동물 바이러스(Animal virus) : [생물] 포유류나 조류 따위의 항온 동물에 감염하여 번지는 바이러스」
1. 동물 바이러스(Animal Vi..
리포트 > 공학/기술 14페이지 
교회건축 사례조사
신앙적인 면
예배, 양육, 훈련, 봉사, 교제,
전도, 선교
건축적인 면
기능, 구조, 미,
독창성, 공학적
효율적인 면
경제성, 재료, 공기, 견고성, 관리, 실용성
현대 교회 건축의 요소
..
리포트 > 공학/기술 12페이지 
컴퓨터를 이용한 유전체연구
본 자료는 생명공학연구소 단백질공학연구부 생체분자구조R.U. 선임연구원 김승목 님의 자료입니다.
1. 서 론
유전체연구에서 컴퓨터 이용의 궁극적인 목적은 다음과 같은 말로 ..
리포트 > 공학/기술 60페이지 
Sol-Gel
1. History 2. Definition of sol-gel 3. Product of sol-gel
1. History
■ 1846년 Ebelmen : 규산에스테르의 가수분해반응을 이용하여 겔을 만듦
■ 1959년 Shott사 : 금속알콕사이드를 이용하여
S..
리포트 > 공학/기술 8페이지 
1.실험 제목
플립플롭
2.실험 목적
순서논리회로의 기반이 되는 플립플롭을 RS, D, T, JK , 주종플립플롭 등을 대상으로 하여 동작 원리를 살펴보고 전반적인 이해를 도모하도록 한다.
3.관련 이론
(1)RS 플..
리포트 > 경영/경제 37페이지 
원가추정(Cost Estimation)
학습목표
L.O. 1 고정원가와 변동원가를 추정하는 목적을 이해한다.
L.O. 2 공학적 방법을 이용하여 원가를 추정한다.
L.O. 3 계정분석법을 이용하여 원가를 추정한다.
L.O. 4 통..
리포트 > 경영/경제 20페이지 
인적자원 개발론
수행관리
수행개선을 위한 평가유형
수행관리의 핵심요소 : 직무분석
인적수행체제
수행관리의 발전
CONTENTS
기업에서의 사례
정리 결론
수행이란
결 과
성 취
실 행
실 적
achievement..
리포트 > 사회과학 5페이지 
Structural Designers Engineers
Eduardo Torroja
1. Eduardo Torroja는 누구인가
1899. 8. 27 마드리드~1961. 6. 15 마드리드.
스페인의 건축가·공학기사
콘크리트 셸 구조 디자인의 선구자
- 1923년 공학기사 ..
리포트 > 공학/기술 3페이지 
멀티테스터 작동법
요 약
회로에 걸리는 전악과 전류를 측정하고, 옴(ohm) 의 법칙과 카르히호프(kirchhoff)의 법칙에 대하여 알아본다. 또한 저항값에 대한 색코드에 대해서도 알아보기로 한다.
1. 서 론
전..
리포트 > 공학/기술 10페이지 
☉스탑워치 VHDL 설계☉
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stop is
PORT(
CLK : in std_logic;
SW_A : in std_logic;
SW_..