로고
(검색결과 약 13,557개 중 53페이지)
유닉스 - C의 MAIN함수 기능 이해
리포트 > 공학/기술    6페이지 
C의 MAIN함수 기능 이해 1. 문제정의 unix 시스템의 vi 사용법 이를테면 명령모드(ESC)와 입력모드(i,a,o ..) 마지막 행 모드(:) 같은 모드 변경과 명령모드에서의 이동, 삭제, 수정 명령어의 습득과 특별명령처..
ALightintheAttic
리포트 > 인문/어학    2페이지 
1.원문 A Light in the Attic There's a light on in the attic. Though the house is dark and shuttered, I can see a flickerin'flutter, And I know what it's about. There's a light on in the attic. I c..
인문, 어학
키코의 구조와 키코 가입 기업 분석 사례
리포트 > 경영/경제    26페이지 
환위험과 KIKO사태 -키코의 구조 와 키코 가입 기업 분석 사례- 1.KIKO 1.환위험과 환헤지 2.KIKO 정의 3.KIKO 구조 4.KIKO 문제점 2. KIKO의 기업사례 1.키코의 거래규모와 손실현황 2.심택 3.태산LCD ..
실험보고서 - 열 교환기 실험
리포트 > 자연과학    9페이지 
열 교환기 실험 실험 목적 열 교환기의 병행류와 대향류의 시험을 통한 열 전달 실험을 통하여 열 수지를 이해하고, 유체의 유량과 총괄 열전달계수와의 관계를 검토하고 총괄 열전달계수, 온수 전열량, 냉수 ..
AtaWindow
리포트 > 인문/어학    3페이지 
1.원문 At a Window Give me hunger, O you gods that sit and give The world its orders. Give me hunger, pain and want, Shut me out with shame and failure From your doors of gold and fame, Give me yo..
인문, 어학
VHDL 설계 언어 실습(문법적용)
리포트 > 공학/기술    26페이지 
◆ logic1 1.소스 library ieee; use ieee.std_logic_1164.all; entity logic1 is port(a,b,c :in bit; y :out bit); end logic1; architecture sample of logic1 is signal w, x : bit; begin no1: proces..
까사미아 경영 사례 분석
리포트 > 경영/경제    12페이지 
목 차 About Casamia Vision History Casamia’s Progress Casamia’s Design SWOT 분석 성공 요인 Future plan About casamia 국내 최초 원스톱 쇼핑이 가능한 종합 인테리어 회사 까사미아는 이탈리아어로 나..
튜울립과_고양이
리포트 > 레포트표지    1페이지 
Preparations Unit. 6 What s out there 과목명: 교수님: 제출자: 학부: 학번: 제출일 :
논리회로 설계- 디코더, 인코더에 대해서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
ABC원가시스템,ABC원가시스템사례,관리회계시스템
리포트 > 경영/경제    20페이지 
Time-Driven Activity Based Costing Activity Based Management Helpful for management decision Find out which segments are profitable Customer and product profitability Feasibility in Benchmarking ..
해양기름오염문제
리포트 > 농/수산학    7페이지 
1. 서론 큰 원유 유출 사고가 있을 때마다 사람들은 해변에서나, TV 혹은 신문을 통해서 그것을 볼 수있고 그리고 또 많은 사람들이 그것에 의해 영향을 받는다고 생각하기 때문에 석유에 의한 해양오염은 많은 ..
농학, 수산학
논리회로 설계 - 디코더 인코어 보고서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
Tomorrow
리포트 > 기타    3페이지 
1.원문 Tomorrow, and Tomorrow, and Tomorrow from Macbeth Tomorrow, and tomorrow, and tomorrow, Creeps in this petty pace from day to day To the last syllable of recorded time, And all our yesterd..
리포트, 레포트
[화학공학실험] 냉동사이클
리포트 > 공학/기술    5페이지 
1. 실험 제목 : 냉동사이클 2. 실험 목적 : Carnot 사이클에 대하여 이해하고 실제 실험을 통해 수치적으로 확인해본다. Normal 사이클과 Reverse 사이클에 대하여 몰리에르 선도를 그려보고 두 선도를 비교, 분..
[마케팅] 국내커피전문점들조사
리포트 > 경영/경제    33페이지 
국내커피전문점들을 조사한 자료입니다. 33페이지로 구성되어 있습니다. 1.스타벅스 2.로즈버드 3.커비빈%티리프 4.카푸치노 익스프레스 5.세가프레도 6.시애틀 에스프레소 7.시애틀 베스트커피 8.할리스 세가지 ..
커피전문점 , 스타벅스 , 커피빈 , 할리스 , 커피시장조사
  이전    다음