로고
(검색결과 약 97,917개 중 50페이지)
논리회로 설계- 디코더, 인코더에 대해서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
[일반물리학 실험] 오실로스코프와 함수 발생기 실험
리포트 > 공학/기술    4페이지 
[일반물리학 실험] 오실로스코프와 함수 발생기 실험 1.실험목적 1.함수발생기와 오실리스코프의 조작법을 읽히고 각각의 저항에 걸린 전압을 구한다. 2.RC회로에서 축전기와 저항에 걸린 전압의 위상차로 인해 ..
일반물리학 실험 - 옴의 법칙 (전류_전압 측정)
리포트 > 자연과학    4페이지 
1. 주 제 옴의 법칙 (전류․전압 측정) 2. 목 적 저항체와 기전력으로 구성된 회로에 걸리는 전압과 이 회로에 흐르는 전류를 전압계와 전류게로 측정하여 옴의 법칙과 키르히호프의 법칙을 확인한 후 저항을 구하..
논리회로 설계 - 디코더 인코어 보고서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
멀티미터의사용법
정보/기술 > 토목/건축    6페이지 
실험 1 멀티미터의 사용법 1. 목적 본 실험에서는 일반 멀티미터 및 디지털 멀티미터의 기본 원리, 규격서 검토 및그 사용법을 익힌다. 2. 이론 ① 일반 멀티미터의 기본 구성 일반적으로 멀티미터는 직류 전압,..
[컴퓨터공학] 논리회로 CSA (Carry Select Adder) Design and Simulation
리포트 > 공학/기술    21페이지 
CSA (Carry Select Adder) Design and Simulation Contents 2 1. Carry-Save Number Representation 3 2. An Outline of Adder 3 2.1 Ripple Carry Adder 3 2.2 CLA (Carry Look Ahead Adder) 4 2.3 CSA (Car..
컴퓨터의 발달사
리포트 > 공학/기술    5페이지 
⑴제1세대 컴퓨터(first generation; 1951∼1959 : 진공관시대) ⑵제2세대 컴퓨터(second generation; 1959∼1963 : 트랜지스터 시대) ⑶제3세대 컴퓨터(third generation; 1964∼1971 : 집적회로시대) ⑵제4세대 ..
일반물리학실험 - RC시상수
리포트 > 자연과학    5페이지 
실험 목적 이번 실험은 RC시상수에 관한 실험으로서 각각 실험에 따라 시상수를 측정해보는 실험이다. 멀티미터에 일정한 볼트를 주어서 그에 따른 시간 상수를 알아 본다. 실험 이론 1. 충전(charge) 기전력..
일반물리학 실험 - 옴의 법칙 (전류_전압 측정)
리포트 > 공학/기술    4페이지 
일반물리학 실험 - 옴의 법칙 (전류․전압 측정) 1. 주 제 옴의 법칙 (전류․전압 측정) 2. 목 적 저항체와 기전력으로 구성된 회로에 걸리는 전압과 이 회로에 흐르는 전류를 전압계와 전류게로 측정하여 옴의 법..
커패시터와 인덕터
리포트 > 사회과학    5페이지 
실험 목적 비저항성 회로소자인 커패시터와 인덕터에 관한 기본 성질을 이해하고, RC 또는 RL회로를 통해서 커패시턴스와 인적턴스를 측정해 본다. 실험 이론 커패시터(Capacitor)와 인덕터(Inductor)는 비저항..
사회과학
능동여파기 실험 보고서
리포트 > 자연과학    7페이지 
능동 여파기 [ Active Filter ] 1. 실험 목적 OP AMP를 이용하여 Low-pass Filter / High-pass Filter / Band-pass Filter 의 동작 원리를 이해하고 설계방법을 실습한다. - 실험1. 저역통과 여파기 (Low-Pass ..
[Verilog프로그래밍]D래치, 플리플롭, shift register
리포트 > 공학/기술    6페이지 
1. 목적 Verilog의 연속할당문을 사용한 래치 설계 방법과 always 문을 사용한 동작적 모델링 방 법의 래치, 플립플롭 설계 방법을 익히고 이를 간단한 회로의 설계에 적용한다. 2. 기초지식 - feedback이 있는 da..
발기인대회참석통보서
서식 > 생활서식    1페이지 
발기인대회 참석 통보서 행사명 일시 장소 참석업체 업체명 (성명) 대표자 소재지 전화번호 기타사항 ※ 참석을 희망하는 회원사는 기재하시어 20 ..( )까지 협의회로 통보(팩스 02-3..
옴의법칙
리포트 > 자연과학    4페이지 
1. 주 제 옴의 법칙 (전류․전압 측정) 2. 목 적 저항체와 기전력으로 구성된 회로에 걸리는 전압과 이 회로에 흐르는 전류를 전압계와 전류게로 측정하여 옴의 법칙과 키르히호프의 법칙을 확인한 후 저항을 구하..
일반물리실험 - 옴의 법칙
리포트 > 자연과학    3페이지 
일반물리실험 - 옴의 법칙 1. 실험목적 저항이 직렬 및 병렬로 연결된 회로에서 전압, 전류를 측정하여 Ohm의 법칙을 확인하며, 각 회로에서의 등가저항을 실험적으로 측정하고 이를 폐회로 정리에 의한 이론적 ..
  이전    다음