로고
(검색결과 약 86개 중 3페이지)
지역냉난방 1차 설계 발표 (열병합발전)
리포트 > 공학/기술    80페이지 
캠퍼스 설계 1차 발표 설계대상 선정(본관, 도서관, 기숙사) 참고문헌 설계 목적 설계장소 소개와 선정 이유 목 차 부하계산 설계 목적 지역 냉난방 설계 에너지 케스케이드 관점에서 지역의 냉난방을 중앙집중식..
CE CB CC 증폭기의 고주파응답회로 및 캐스코드증폭기
리포트 > 자연과학    7페이지 
2. 모의 실험 가. CE 증폭기 .op .probe .tran 0ms 0.3ms .ac dec 100Hz 1Hz 1GHz .dc lin Vs -0.5V 0.5V 0.01V Vs 2 0 sin 0 0.01V 10kHz ac 0.1V Vcc 1 0 10V Rs 3 2 51 Cs 4 3 22u Rb1 1 4 120k Rb2 4 0 20k..
서브스크립션커머스시장분석,비지니스모델 분석,. 미미박스 분석,글로시박스 분석,비지니스모델 리스크분석
리포트 > 경영/경제    42페이지 
Subscription Commerce PART1 2-1. 기업선정 이유 2-2. 미미박스 분석 - 개요 및 국내 현황 - 수익 구조 - 고객 분석 2-3. 글로시박스 분석 - 개요 및 국내현황 - 수익 구조 - 고객 분석 2-4. 기업비교..
[특수아동 진단평가도구] 특수아동용 지능검사
리포트 > 사회과학    4페이지 
특수 아동용지능검사 한국 웩슬러 아동용지능검사4판(K-WISC-IV) 한국웩슬러유아용 지능검사 한국 웩슬러 아동용지능검사4판(K-W1SC-) 한국 웩슬러 아동용지능검사4판(K-WISC-IV) 한국웩슬러유아용 지능검사 한국 ..
검사, 지능, 아동, , , 표준화, 웩슬러, 개월, k, 한국판, 유아, 한국, 늘다, 우리나라, 맞다, 상황, 능력, 발달, 사이, 장애
통 및 경로
리포트 > 사회과학    23페이지 
LG전자의 유통및 물류(GSCM)에 대한 조사 목 차 ▲ 서 론 ▲ GSCM에 대한 이해와 엘지의 추진 목표 ○ GSCM(Global Supply Chain Management)이란 ○ LG 전자 추진과제 ○ LG 전자 SCM 추진 목표 ▲ 현황분석 ○ ..
사회과학
항공사 로고와 코드조사
문화예술 > 여행/레포츠    3페이지 
항공사로고와 국적, 코드조사 항공사로고 항공사 코드 국적 네덜란드항공 KL 네덜란드 노스웨스트항공 NW 미국 달라비아항공 H8 러시아 대한항공 KE 한국 라이언항공 JT ..
사회과학
일반화학 - 희토류 원소에 관해서
리포트 > 자연과학    3페이지 
일반화학 - 희토류 원소에 관해서 1. 희토류 원소란 희토류 원소란 21번 스칸듐, 39번 이트륨에 57~71번의 란탄족 원소를 더한 17개 원소의 총칭이다. 2. 희토류 원소의 종류는 순서 ⓵⓶⓷⓸⓹⓺⓻⓼⓽ 원자번호 21 ..
인사관리,인적자원,사례분석,은행사례
리포트 > 경영/경제    17페이지 
-SC제일은행의 인적자원관리- [목차] Ⅰ. 기업선정이유 Ⅱ. 기업소개 1. 기업소개 및 기업연혁 2. 경영목표 및 방침 Ⅲ. 채용 및 교육 1. 채용절차 2. 채용활동 3. 교육제도 Ⅳ. 인사관리시스템의 특징 - 여성..
정치발전론 - 1950년대 한국의 재생산 과정과 구조
리포트 > 사회과학    4페이지 
1950년대 한국의 재생산 과정과 구조 목 차 1. 재생산 표식과 이승만기 경제의 개략적 상황 2. 재생산 표식에 도입한 구체적 한국 경제 상황 3. 결론 1. 재생산 표식과 이승만기 경제의 개략적 상황 1) 본 글..
삼성전자의 SCM구축과정,애플사의 SCM문제점,애플과 삼성의 SCM 비교분석
리포트 > 경영/경제    22페이지 
삼성전자의 공급사슬 관리 Ⅰ. 서론 1. 선정 동기 ---3P 2. 기업개요 ---3~4P Ⅱ. 본론 가. 삼성전자의 SCM구축과정 1. 삼성전자 SC도표 ---4P 2. SCM내용 ---5P~8P 1)정보 ---5P~6P 2)재고관리 ---7P~8P 나..
외국계 및 대기업 기업문화 사례조사,기업문화,기업문화사례,항공사기업문화,은행기업문화
리포트 > 경영/경제    33페이지 
외국계 및 대기업 기업문화 사례 조사 3. 결론 1. 서론 :: 2. 본론 :: 2-1. 은 행 2-2. 항공사 2-3. 자동차 3. 결론 :: 1. 서론 :: 2. 본론 :: 2-1. 은 행 2-2. 항공사 2-3. 자동차 3. 결론 :: 1) 기업문..
사례분석스탠톤케미칼사
비지니스 > 사례분석    1페이지 
마케팅 원론 사례 분석; 스탠톤 케미칼사 스텐톤 케미칼사는 표백제 시장에서 주 점유업체인 3대 기업(Clorox, Purex, Fleecy White)의 점유시장 외에 나머지 45%에 해당하는 시장을 다른 많은 소규모 표백제 기..
분석
한미FTA에 대한 이해
리포트 > 경영/경제    35페이지 
한미 FTA 목차 바람직하지 못한 상황과 조건에 대한 이해 문제의 원인에 대한 이해 개입 방법에 대한 이해 우리사회의 변화 가능성에 대한 예측 ※ FTA (Free Trade Agreement) 국가 간 상품의 자유로운 이..
여행항공예약_ENTRY
리포트 > 기타    4페이지 
여행항공예약 ENTRY(지시어) CASE 지시어 간단설명(주요내용) DECODE SC* SS*XXX.XX SP* SN* SA* SE* SK* SL*P. SR* 해당 도시의 주,국가 CODE, 복수공항 등을 보여준다. 주로 미국정보를 찾을때 주+국가코드를 ..
해상보험론 발표 과제
리포트 > 경영/경제    4페이지 
해상보험론 발표 과제 Ⅰ. 선박보험의 의의 및 구성 선박보험이란 선박소유자의 소유선박에 대한 피보험이익을 대상으로 한 보험이다. 선박보험은 ILU가 제정한 ITC(Institute Time Clause: 협회기간약관)를 기본..
  이전    다음