로고
(검색결과 약 601개 중 23페이지)
일반물리학실험 길이와 곡률반경 측정
리포트 > 자연과학    8페이지 
실험 목적 버니어 캘리퍼, 마이크로 미터, 구면계의 사용법과 측정원리를 배우고 물체의 길이, 원통의 내경과 외경, 얇은 판의 두께. 렌즈의 곡률 반경 등을 측정한다. 그리고 이러한 측정과정에서 오차가 결과에..
일반물리학 - 길이와 곡률반경 측정
리포트 > 자연과학    8페이지 
실험 목적 버니어 캘리퍼, 마이크로 미터, 구면계의 사용법과 측정원리를 배우고 물체의 길이, 원통의 내경과 외경, 얇은 판의 두께. 렌즈의 곡률 반경 등을 측정한다. 그리고 이러한 측정과정에서 오차가 결과에..
실험보고서 - 길이와 곡률반경 측정
리포트 > 자연과학    8페이지 
실험 목적 버니어 캘리퍼, 마이크로 미터, 구면계의 사용법과 측정원리를 배우고 물체의 길이, 원통의 내경과 외경, 얇은 판의 두께. 렌즈의 곡률 반경 등을 측정한다. 그리고 이러한 측정과정에서 오차가 결과에..
일반물리학 실험 - 길이와 곡률반경 측정
리포트 > 자연과학    8페이지 
실험 목적 버니어 캘리퍼, 마이크로 미터, 구면계의 사용법과 측정원리를 배우고 물체의 길이, 원통의 내경과 외경, 얇은 판의 두께. 렌즈의 곡률 반경 등을 측정한다. 그리고 이러한 측정과정에서 오차가 결과에..
일반물리학 실험 - 길이와 곡률반경 측정
리포트 > 자연과학    8페이지 
실험 목적 버니어 캘리퍼, 마이크로 미터, 구면계의 사용법과 측정원리를 배우고 물체의 길이, 원통의 내경과 외경, 얇은 판의 두께. 렌즈의 곡률 반경 등을 측정한다. 그리고 이러한 측정과정에서 오차가 결과에..
일반물리학 실험 - 길이와 곡률반경 측정
리포트 > 공학/기술    8페이지 
실험 목적 버니어 캘리퍼, 마이크로 미터, 구면계의 사용법과 측정원리를 배우고 물체의 길이, 원통의 내경과 외경, 얇은 판의 두께. 렌즈의 곡률 반경 등을 측정한다. 그리고 이러한 측정과정에서 오차가 결과에..
기계공작 - 전기면도기 조사
리포트 > 공학/기술    30페이지 
Project 주제 : 전기면도기 1)정상적인 사용조건은 무엇이고 온도, 하중, 충격, 부식 분위기 등의 제한조건이 있나 ※전기면도기 개요 원리 수염을 깎기 위한 전기 기구. 소형 모터를 이용한 <회전식>과, 전자..
[강의교재] 원자력 에너지
정보/기술 > 강의/교재    33페이지 
원자력 에너지 찾아 가기 원자로와 원자폭탄 성취 기준 원자력 발전의 종류 핵융합 원자로의 구성 사고력향상문제 대체 에너지 원자력 발전의 원리 탐구 활동 원자력 발전 장단점 성취 기준 P43. 발전 방식의 장..
만5세반 유아 일상,놀이 1년 (1학기+2학기) 관찰일지 기록
리포트 > 교육학    12페이지 
만5세반 유아 일상,놀이 1년 (1학기+2학기) 관찰일지 기록입니다. 발달평가 포함입니다. - 일상생활 - 놀이활동 - 놀이활동 - 일상생활 - 놀이활동 - 놀이활동 - 1학기 발달평가 - 일상생활 - 놀이활동 - 놀이활..
영유아관찰일지, 만5세 관찰일지, 만5세 평가인증, 만5세평가제, 1년관찰일지, 발달평가, 아동관찰일지, 평가인증관찰일지, 교육실습일지, 관찰일지
[일반물리학 실험] 휘스톤브릿지 시스템
리포트 > 공학/기술    4페이지 
[일반물리학 실험] 휘스톤브릿지 시스템 1. 목적 한 물질의 전도도(또는 비저항)는 그 물질의 전기적 성질에 관하여 매우 중요한 정보를 제공한다. 이를 실험적으로 결정하기 위하여 먼저 저항을 정확하고 정밀..
심리_구조화된 동료질문 및 반응 훈련의 문제해결 효과
리포트 > 교육학    3페이지 
문제해결 과정에서 구조화된 동료질문 및 반응 훈련의 효과 1. 들어가며 최근 들어 몇몇 연구들이 집단의 상호작용에서 나타나는 담화(talk)의 질을 높이기 위하여 구조화된 질문을 학생들에게 훈련시킴으로써 ..
[일반물리학 실험] 길이 측정
리포트 > 자연과학    3페이지 
일반물리학 실험 - 길이 측정 1.실험목적 버니어캘리퍼와 마이크로미터의 원리를 이해하고, 사용법에 대해서 배워 주어진 물체의 길이, 원통의 내경(안지름)과 외경(바깥지름), 간격, 깊이 등을 측정한다. 이러한..
유체역학 실험 - 점성계수 측정 실험
리포트 > 공학/기술    5페이지 
점성계수 측정 실험 1. 실험목적 점성계수 측정실험에서는 자동차 엔진오일을 시료로 Saybolt 점도계를 사용해서 그 점도를 측정하였다. 우리가 실시한 이 실험에서는 Sayblot 점도계와 같은 모세관 점도계를 이..
일반물리학 실험 - 길이 측정
리포트 > 자연과학    4페이지 
일반물리학 실험 - 길이 측정 1. 실험목적 버니어캘리퍼스, 마이크로미터의 사용법을 배우고 물체의 길이, 원통의 내경(안지름)과 외경(바깥지름) 등을 측정한다. 이 결과들로 부터 면적과 부피를 계산하고, 이..
만4세 3월,4월,5월,6월,7월,8월,9월,10월,11월,12월,1월,2월 1년 관찰일지와 발달평가
리포트 > 교육학    12페이지 
만4세 3월,4월,5월,6월,7월,8월,9월,10월,11월,12월,1월,2월 1년 관찰일지와 발달평가 입니다 놀이,일상영역으로 나누어 관찰하였습니다. 평가인증 완료하여 A받았습니다. - 일상생활 - 놀이활동 - 놀이활동 - 일..
유아관찰일지, 만4세 관찰일지, 만4세 평가인증, 만4세평가제, 1년관찰일지, 발달평가, 아동관찰일지, 평가인증관찰일지, 교육실습일지, 관찰일지
  이전    다음