로고
(검색결과 약 13,664개 중 21페이지)
Five Moral Dimensions of UCC,UCC분석,UCC역할,UCC장단점
리포트 > 공학/기술    24페이지 
Five Moral Dimensions of UCC Contents Part 1 What is UCC Part 2 Five Moral Dimensions Part 3 In Conclusion What is UCC What is UCC UCC = Users Created Contents 의 약자 사용자가 생산해 내는 모든 종..
행동수정기법의 개념, 종류, 각 기법의 장단점을 기술하시오
리포트 > 경영/경제    11페이지 
행동수정기법의 개념, 종류, 각 기법의 장단점을 기술하시오에 대한 레포트 행동수정기법의 개념, 종류, 각 기법의 장단점을 기술하시오 목차 행동수정기법의 개념, 종류, 각 기법의 장단점을 기술하시오..
개념, 정의, 특징, 특성, 과제, 문제점
[사회복지실천기술론] 심리사회모델 사례
리포트 > 사회과학    13페이지 
목 차 Ⅰ 서론 ‧‧‧ 2 1. 연구의 필요성 및 심리‧사회모델의 목적 ‧‧‧ 2. 이론적 배경 ‧‧‧ 3 1) 진로미결정 ‧‧‧ 2) 진로에 대한 부모중심 결정 이유 ‧‧‧ 3. 심리․사회모델 ‧‧‧ Ⅱ 사례관리 과정 ‧‧‧ 4 1. ..
수입승이(신청)서
비지니스 > 무역수출입    1페이지 
[별지 제3-2호 서식] 수입승인(신청)서 Export License(Application) 처리기간 : 1일 Handling Time : 1Day ①수입자 무역업신고번호 ⑤송화인(Consignor) 상호, 주소, 성명 (Name of firm, Address, Name of Rep..
무역업신고사항변경신고서
비지니스 > 무역수출입    1페이지 
[별지 제2-3호 서식] 무역업신고사항변경신고서 NOTIFICATION OF AMENDMENT TO TRADE BUSINESS 처리기간 :즉시 Handling Time : Immediate ①상호 (Name of Firm) ②신고번호 (Notification Number) ③주소 (Ko..
영문 차관계약서
서식 > 계약서    12페이지 
EURODOLLAR CREDIT AGREEMENT THIS AGREEMENT dated as of between ()a corporation organized under the laws of the Republic of South Korea (hereinafter called Borrower). and ()a national banking associ..
무역업신고서
비지니스 > 무역수출입    1페이지 
[별지 제2-1호 서식] 무역업신고서 NOTIFICATION OF TRADE BUSINESS 처리기간 :즉시 Handling Time : Immediate ①상호 (Name of Firm) ②업종 (Type of Business) ③주소 (Korean Address) ④전화번호 (Phone ..
인디아나존스,영화분석,영화줄거리,영화의이해
리포트 > 독후감/서평    50페이지 
고고학자.. 목차 인디애나 존스 시리즈 소개 고고학 그리고 역사학, 두 학문 사이의 관계 1편 Raiders of the lost ark 분석(이집트 역사와 성서) 2편 Temple of doom 분석 (누루하치와 부두교) 3편 Last Crusade ..
호텔
리포트 > 경영/경제    16페이지 
2011-1 Hotel Project Management Sculpting in Time (Travel Agency)     Contents 1. Analysis of customer 1-1 . Purchase Behavior     1-2. Customers needs     1-3. Customer profile   ..
PULL흐름라인의산출량변동성을최소화하는작업자배정안
리포트 > 공학/기술    18페이지 
PULL 흐름라인의 산출량 변동성을 최소화하는 작업자 배정안 최상웅 Server Assignment Policy for Minimizing the Output Variability of a Pull Serial Line Choe, Sang Woong 1) Abstract Variability and..
Marketing Plan,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례
리포트 > 경영/경제    12페이지 
Report Subject: New Product Marketing Plan Contents 1. Executive Summary 2. The Product(Good or Service) or Business Idea 3. Market Analysis 4. Competitor Assessment 5. Marketing Strategy 6...
Longing
리포트 > 인문/어학    2페이지 
1.원문 Longing I am not sorry for my soul That it must go unsatisfied, For it can live a thousand times, Eternity is deep and wide. I am not sorry for my soul, But oh, my body that must go Back t..
인문, 어학
영문 차관계약서2(LOAN AGREEMENT)
서식 > 계약서    44페이지 
LOAN AGREEMENT(2) THIS AGREEMENT date the () day of () nineteen hundred and ninety-five BETWEEN (1) ()a company duly incorporated and validly existing with good standing under the laws of (..
수출승인(신청)서
비지니스 > 무역수출입    1페이지 
[별지 제3-1호 서식] 수출승인(신청)서 Export License(Application) 처리기간 : 1일 Handling Time : 1Day ①수출자 무역업신고번호 ④구매자 또는 계약당사자 (Buyer or Principal of Contract) (Exporter) (N..
논리게이트 - VHDL 설계 언어 실습
리포트 > 공학/기술    26페이지 
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample ..
  이전    다음