로고
(검색결과 약 60개 중 2페이지)
논리회로 설계- 디코더, 인코더에 대해서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
논리회로 설계 - 디코더 인코어 보고서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
전자도서관제안서
리포트 > 경영/경제    17페이지 
회사소개 회 사 명 : 라이브텍(LibTech) 설 립 년 : 1990년5월 직 원 수 : SE 7名, CE 4名 주요사업 : 도서관전산화시스템 (S/W 및 H/W) 주 소 : 서울시 서초구 서초동 1547-5 태성B/D 2F 전화번호 : 02-522-..
[문헌정보학] 그리스신화와 전공 연계하기
리포트 > 사회과학    5페이지 
하나. -사서, 사서 교사의 변신술 vs 제우스의 변신술- 문헌정보교육과는 정보화 시대에 폭발적으로 증가하는 정보와 지식을 효율적으로 관리하고 운영하여 학교의 교육목표 달성과 교육과정 운영에 기여할 수 있..
OCLC,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례
리포트 > 경영/경제    21페이지 
Online Computer Library Center CONTENTS OCLC 소개 1-1. 방향 및 특성 2. OCLC의 서비스 2-1. World cat 2-2. Resource sharing 2-3. Digital Collection Preservation 2-4. First Search 2-5. OCLC ILL 3...
[건축학] 렘쿨하스 (Rem Koolhass)의 이론과 작품
리포트 > 공학/기술    50페이지 
Rem Koolhass Presentation by name In their Citation, the Pritzker Prize Jury described Rem Koolhaas as a visionary and a philosopher. Critics have argued that Koolhaas ignores all consideration for ..
SongoftheOpenRoad
리포트 > 기타    3페이지 
1.원문 Song of the Open Road from Song of the Open Road Afoot and light-hearted I take to the open road, Healthy, free, the world before me, The long brown path before me leading wherever I choos..
리포트, 레포트
[논문쓰기] 학술논문, 저작권 그리고 오픈 액세스
논문 > 기타    27페이지 
한국의 학술정보 커뮤니케이션의 특징과 오픈 액세스The Characteristics of Scholarly Communication in Korea and Open Access 차례 1. 오픈 액세스란 무엇인가 2. 왜 오픈 액세스인가 3. 오픈 액세스 현황 4. ..
도서관전산화
리포트 > 인문/어학    32페이지 
▩▩▩ 도서관 전산화 ▩▩▩ <1994.9.2.> * ATOMATION(전산화) : process * 도서관 정보시스템 - 통합 온라인 도서관 시스템 (Integrated Online Library System ; IOLS) ※※※ 도서관 전산화 - 도서관 업무라는 기..
인문, 어학
정보통신 설계 - 집적 회로(Very Large Scale Integrated Circuit; VLSI)의 설계 과정
리포트 > 공학/기술    20페이지 
집적회로(VLSI)의 설계 과정 VLSI 설계 전반부 : Gate Level 설계 후반부 : Layout 데이터 생성 최근의 설계 전반부 : 동작적 설계(Behavioral Design) ==] Gate Level 설계 후반부 : Layout 데이터 생성 2.1 ..
C++ 채팅 소켓 프로그램 소스
리포트 > 공학/기술    12페이지 
1. 개발환경 - OS : windows - Language : C++( Microsoft visual C++) 2. 프로그램 설명 및 기능 설명 -본 프로그램은 쓰레드를 이용한 소켓 채팅 프로그램이다. 서버와 프로그램 두 개로 나누어져 ..
MaxingHongKingston
리포트 > 기타    15페이지 
Maxing Hong Kingston (27 October 1940-) Pin-chia Feng National Chiao-Tung University, Taiwan See also the Kingston entry in DLB Yearbook: 1980. BOOKS: The Woman Warrior: Memoirs of a Girlhood Amo..
리포트, 레포트
복잡한 회로 설계 - [VHDL] 4비트 가산기 설계
리포트 > 공학/기술    6페이지 
DESIGN REPORT 복잡한 회로 설계 - 4비트 가산기 - 과 목 : 학 과 : 학 번 : 이 름 : 제출일자: 1. 4bit Adder 소개 4비트 가산기는 4비트인 2개의 입력신호를 더하는 역할을 한다. 예를 들어 1011 + 11..
조경가 작품 설명[조지 하그리브스, 피터워커, 옴스테드, 렘쿨하스]
리포트 > 예체능    9페이지 
조경가 작품 설명 레포트 [목차] 1. 조지 하그리브스(George Hargreaves) - 작품 1-1 캔들스틱 포인트 파크(CANDLESTICK POINT CULURE PARK) - 작품 1-2 빅스비파크(BYXBEE PARK) - 작품 1-3 크라시필드(CRIS..
STM32 FAT32(SD카드) 포팅 소스와 회로도
정보/기술 > 전기전자    1037페이지 
STM32의 SD카드 FAT32 포팅 소스와 회로도입니다. SPI를 통해서 SD카드의 파일을 엑세스할수 있습니다. 풀소스코드가 첨부되어 빌드에는 문제가 없으며, 구현하고자 하시는 프로젝트에 바로 적용 가능합니다. ..
STM32, FAT32, SD카드, SPI
  이전    다음