Linux 파일 SEARCH & REPLACE Linux 시스템의 디렉토리 및 하위 디렉토리 전체 텍스트 파일의
특정 문자열을 원하는 다른 문자열로 교체 해주는 프로그램
유닉스 시스템의 한 디렉토리 및의 전체 텍스트 파일의
특정 문자열을 원하는 다른 ..
2장 MBR 및 파티션 테이블 파티션 테이블에 대한 설명 및 소스
필자가 하드보안관 일을 할때, 공부겸 만들던 프로그램입니다.
하드보안관 자체가 assemble로 되어 있기 때문에, C언어로 쉽게 만들어 보자는 생각에서 회사일 외에 개인적으..
Boot Record 정보 보여주기 필자가 하드보안관 일을 할때, 공부겸 만들던 프로그램입니다.
하드보안관 자체가 assemble로 되어 있기 때문에, C언어로 쉽게 만들어 보자는 생각에서 회사일 외에 개인적으로 만들던 소스입니다.
원래는 책..
1장 하드 디스크 물리적으로 읽고 쓰기 하드디스크를 물리적으로 읽고 쓰는 소스 및 설명.
필자가 하드보안관 일을 할때, 공부겸 만들던 프로그램입니다.
하드보안관 자체가 assemble로 되어 있기 때문에, C언어로 쉽게 만들어 보자는 생각에서 회사일..
irc 채팅 소스 irc 채팅 소스입니다. 세이클럽도 irc를 이용하져?
1. 저작자 : 조주봉
2. 사용언어 : System C 프로그래밍
3. 제작 의도 : 네트워크 프로그래밍의 구조를 알자
웹발송기소스 웹발송기소스.(설명 비포함)
델파이소스입니다. 다른언어 사용하시는분은.,.;
소스수정가능합니다.
조금 기초가 튼튼하신분은 언제든지 수정해서 쓸수있습니다.
웹발송기뿐만아니라. smtp전부. 수정해..
프로그래밍 언어 및 실습 - 헤더 파일 및 함수 정리 목차
1. 헤더 파일 및 함수 정리
2. 그 외 함수를 찾지 못한 헤더 파일 정리
3. 출처
1. 헤더 파일 및 함수 정리
1. stdio.h
이름
해설
파일 조작 함수
fopen, freopen
파일을 읽거나 쓴다.
fclose
파일을 닫..
C언어 베이스볼[야구게임] 게임 리포트[소스포함] 1. 문제정의
야구게임 만들기.
야구게임은 임의로 정해진 서로 다른 숫자 3개를 추론하여 맞추는 게임입니다.
내가 선택한 서로 다른 숫자 3개와 정답이 일치하면 3진(3S)이 되고 게임에서 승리합니다.
숫자와 자..
VHDL 설계 언어 실습(문법적용) ◆ logic1
1.소스
library ieee;
use ieee.std_logic_1164.all;
entity logic1 is
port(a,b,c :in bit;
y :out bit);
end logic1;
architecture sample of logic1 is
signal w, x : bit;
begin
no1: proces..
논리게이트 - VHDL 설계 언어 실습 ◆ AND GATE(2 input)
1. 소스
library ieee;
use ieee.std_logic_1164.all;
entity andgate is
port(
sw1 : in std_logic;
sw2 : in std_logic;
led : out std_logic);
end andgate;
architecture sample ..