로고
(검색결과 약 11,761개 중 65페이지)
영문이력서2_개인
서식 > 이력서    2페이지 
영문이력서2_개인입니다. Kil-Dong Hong 9-3, Woosin Bldg. 4F, Galwol-Dong, Yongsan-Gu, Seoul Phone: (02) 712-5000 E-mail: hong@kbsjob.co.kr JOB OBJECTIVE Senior accountant for a growing mul..
영문이력서, 이력서, 영문, 영어
햄릿의 성격적 결함에 대하여
리포트 > 인문/어학    5페이지 
햄릿의 성격적 결함에 대하여 목 차 Ⅰ. 서론 Ⅱ. 햄릿의 성격적 결함 1. 햄릿은 성급하다. 2. 햄릿은 우유부단한 성격이다. 3. 햄릿은 오이디푸스 콤플렉스를 가지고 있다. Ⅲ. 결론 참고문헌: Ⅰ. 서론 『햄릿』..
영시 해석 On First Looking into Chapmans Homer
리포트 > 인문/어학    2페이지 
영시 해석 On First Looking into Chapman's Homer 1. 원문 Much have I travell'd in the realms of gold, And many goodly states and kingdoms seen; Round many western islands have I been Which bards ..
인문, 어학
맥도날드,기업분석,경영전략,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p
리포트 > 경영/경제    29페이지 
Mc Donald’s Wendy’s Financial Analysis Contents 1. 2. Firm Performance Measures 3. Summary Recommendations 4. Introduction Industry and Company Analysis Financial Statement Analysis Introduction and..
야후코리아,야후마케팅,야후기업분석,야후분석(영문판)
리포트 > 경영/경제    15페이지 
Can they revive Contexts Introduction History of YAHOO Korea Problems 4P Strategies - Product Strategy - Price Strategy - Place Strategy - Promotion Strategy Conclusion Once No.1 portal site YA..
영문 이력서_2
서식 > 이력서    1페이지 
KilDong Hong 11, Woosin Bldg. 1F, GalwolDong, SeoulGu, Seoul Phone: (02) 111 Email: hong@kildong.co.kr JOB OBJECTIVE Senior accountant for a growing multinational firm SUMMARY OF QUALIF..
미스터피자,미스터피자마케팅전략,미스터피자분석,영문마케팅,영어마케팅,미스터피자영문보고서
리포트 > 경영/경제    28페이지 
Mr.Pizza Love for women About Mr. Pizza Pizza Star for three consecutive years in 2011 part of the brand !!! Love for women About Mr. Pizza Love for women Recognizing a Problem Love for women Co..
무역업고유번호신청서
서식 > 행정민원서식    1페이지 
무역업고유번호신청서입니다. ①상호(Name of Firm) ② 무역업고유번호(Trade Business Code) ③주소(Address) ④업종(Business Type) ⑤ 전화번호(Phone Number),팩스번호(Fax Number) ⑥ 이메일주소(Em..
무역업, 고유번호, 신청서, 고유번호신청
무역계약서( 영문)
서식 > 계약서    6페이지 
에이전시 계약서 (영문) 작성 서식입니다. AGENCY AGREEMENT 본 계약서 Sample은 한국무역대리점 협회에서 표준 계약서로서 추천하는 것이나, 완벽한 것이라고는 할 수 없는 만큼 필요에 따라 적절히 수정하여..
에이전시, 계약서, 영문
논리게이트 - VHDL 설계 언어 실습
리포트 > 공학/기술    26페이지 
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample ..
푸르덴셜생명보험 자기소개서 [푸르덴셜 합격 자기소개서 예문] 푸르덴셜생명보험 자소서 [푸르덴셜생명보험 시스템개발 합격 자소서 샘플] 푸르덴셜 시스템개발팀 공채 입사지원서 [푸르덴셜생명 신입 자기소개서]
서식 > 자기소개서    2페이지 
푸르덴셜생명보험에 대해 철저하게 분석하여 퇴고과정을 거쳐 공들여 쓴 자기소개서 입니다. 지난 몇 년간 합격자들의 자기소개서를 참고하여 몇 번의 수정을 거쳤습니다. 또 기업기념과 인재상을 고려하여 이목을..
푸르덴셜생명보험 자기소개서, 푸르덴셜생명보험 자소서, 푸르덴셜, 푸르덴셜보험, 푸르덴셜생명, 시스템개발분야, 자기소개서, 자소서, 이력서, 입사지원서
영문 건강기록부
서식 > 회사서식    2페이지 
HEALTH RECORD Name in Full : Hong, Kill Dong Sex : Female Date of Birth : May 22, 1984 Resident ID No. :*** Address : #123, Kwanchuldong, JongRogu, Seoul, KOREA Name of Father : Kim Kil Dong..
iPhone TSF
리포트 > 경영/경제    22페이지 
Slide to unlock iPhone iPhone TSF Masscommuication Jonghyeon Seo, Hyelee Lee, EunYeong Park Contents iPhone sensation Relationship of the iPhone and TSF The importance of opinion leaders Conclusion ..
[영문판]롯데시네마,CGV,영화관비교분석,롯데시네마분석,CGV분석,씨지브이
리포트 > 경영/경제    22페이지 
Introduction - Lotte Cinema - CGV CGV - Core Process - Competitive priority Lotte Cinema - Core Process - Competitive priority Service blueprint Conclusion Contents 1999. 9 Fonudation L..
Letter[Car Free Day Campaign]
서식 > 생활서식    1페이지 
Letter [Car Free Day Campaign] Dear Councillor : I am writing to support the City's Recommendations that Seoul invest in a major Car Free Day event for 20 . I understand this includes a ..
  이전    다음