로고
(검색결과 약 4,711개 중 56페이지)
[E비즈니스 유통] e-비즈니스 유통경로의 개념과 기능, 설계, 변화
리포트 > 경영/경제    10페이지 
[E비즈니스 유통] e-비즈니스 유통경로의 개념과 기능, 설계, 변화 목차 * e-비즈니스 유통 Ⅰ. e-비즈니스 유통경로의 개념 Ⅱ. e-비즈니스 유통경로의 기능 1. 정보수집과 분석 2. 마케팅 커뮤니케이션 활..
개념 정의설명, 특성 특징 중요성, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례
CAD
리포트 > 공학/기술    12페이지 
REPORT CAD 교과목 : CAD 실습 담당 교수님 : 교수님 학과 : 학번 : 이름 : § 1.1 CAD의 정의 일반적으로 CAD란 말은 Computer Aided Design의 약어로서 컴퓨터를 사용한 설계를 의미한다. 그러나 CAD란 ..
공학, 기술
교수설계이론
리포트 > 교육학    41페이지 
교수설계이론 ⅠSummer 2010 1절. 가네(Gagne)의 9가지 수업사태 2절. 롸이겔루스(Reigeluth)의 교수설계전략 3절. 켈러(Keller)의 학습동기설계이론 가네의 9가지 수업사태 이론적 배경 학습의 내적 인지과..
공조설비설계 냉수 배관의 마찰저항 계산(냉수온기1 냉각탑)
리포트 > 공학/기술    3페이지 
[ 냉수 배관의 마찰저항 계산 ] 구 간 유 량 (ℓ/min) 유 속 (m/s) 관 경 국부저항의 종류 1개당 상당길이 수 량 계 L (m) 실제길이 L (m) 환산길이 L + L (m) 단위저항 (mmH/m) 구간저항 (mmH) 냉온수기~펌프 ..
공조설비설계 기준층층공기조화기
리포트 > 공학/기술    4페이지 
기준층 (1) 실내의 냉방 현열부하 21781.18 W (2) 실내의 냉방 전열부하 25904.99 W (3) 실내의 현열비 (SHF) 0.84 (4) 외기량 1634 m3/h (5) 실내의 난방 전열(=현열) 부하 -1479.8 W (6) 가습량..
압력용기 설계 자동계산 시트
서식 > 자동화서식    1페이지 
고압용기 압력에따른 용기의 두께 선정식 (샘플참조)
bcd코드, gray코드 10진카운
리포트 > 공학/기술    6페이지 
BCD코드,Gray코드 10진 카운터 설계 목차 1.Gray코드설명 2. BCD코드,Gray코드 10진 카운터 설계 3.카르노 맵 작성 4.회로도 구성 Gray코드란 ....
창조론
리포트 > 사회과학    11페이지 
창조론에 관하여… 창조론이란… (다소 폭 넓은 의미로) “창조주에 의해 세상이 특별한 목적과 설계를 통해 창조되었음.”을 믿는 견해 창조 이야기 우주의 비밀 지구의 신비 화석의 증거 인류의 기원 생명체의 설..
E-LONGAT-E
리포트 > 경영/경제    33페이지 
E-LONGAT-E 창업계획서 목 차 1. 사업 아이템 개요 2. 시장분석 3. SWOT 전략 4. STP 전략 5. 4P 전략 사업 아이템 개요 창 업 배 경 최근 우리나라 차의 미국시장에서의 높은 인지도와 흥행 미국인들의 자유분방..
[경영 데이터베이스] Academic Information System Proposal
리포트 > 경영/경제    40페이지 
Academic Information System Proposal (Final Report Reference) 제작기간 : 20 .10.29 ~ 200 .12.02 팀명 : 팀장 : 팀원 : [목차] 1. 시스템 목적 및 개요(System Objectives) 2. 사용자 요구사항 분석(Nee..
직무 확대와 직무충실화에 대하여
리포트 > 경영/경제    4페이지 
현대적 직무설계 기법으로써 직무확대와 직무충실화 Ⅰ. 전통적 직무설계와 현대적 직무설계 1. 전통적 직무설계 전통적 직무설계는 아담 스미스의 “분업의 원리”를 기초로 한 테일러의 과학적 관리법에 의해 확..
논리회로 설계- 디코더, 인코더에 대해서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
노후를 위한 재정 설계노하우
비지니스 > 부동산/금융    3페이지 
노후 자금 마련을 위한 재정설계 노하우 1. 재정설계 방향 정립 인생의 라이프사이클은 400m 허들 경기에 나서는 육상 선수들과 마찬가지다. 골인 지점(죽음)은 공평하고 스타트라인에서 출발하는 시점도 같..
열교환기 설계 (냉장고 응축기)
리포트 > 공학/기술    17페이지 
냉장고 응축기 설계 총열전달계수 P-h 선도 응축기 계산 핀 효율 응축기 길이 고찰 CONTENTS 냉장실 총열전달계수 발포폴리스틸렌 0.05kJ/mK 50㎜ 30℃ 공기 20kJ/ ㎡K 5℃ 공기 8kJ/ ㎡K 1 ㎜ 1 ㎜ 철41kJ/mK 냉동..
공조설비설계 물량산출-덕트
리포트 > 공학/기술    3페이지 
[ 물 량 산 출 - 덕 트 ] 덕트 size별 종류 총 길이 (mm) 덕트 둘레 (mm) 총 길이 (m) 덕트 둘레 (m) 덕트 면적 (m2) 재질 및 두께 (mm) 850 × 400 6740 2500 6.74 2.5 16.85 재질 : 아연도금강판 두께 : 1 mm 7..
  이전    다음