로고
(검색결과 약 22,147개 중 39페이지)
전자회로 설계 및 실험 - 연산증폭기 특성
리포트 > 공학/기술    4페이지 
연산증폭기 특성 -요약문- 이번 실험에서는 UA741 연산 증폭기의 슬루율을 측정하고 공통모드제거비(CMR)를 계산 하여 데이터 시트값과 비교하여 본다. -실험 내용- 실험 1. 슬루율 결정 (1) 회로도 =0v ~ -..
마케팅전략 - 빅데이터와 SNS를 활용한 마케팅 전략
리포트 > 경영/경제    7페이지 
데이터와 SNS를 활용한 마케팅 전략 SNS를 활용한 마케팅 전략 SNS의 정의 SNS는 Social Networking Service의 약자로 온라인상에서 이용자들이 인맥을 새롭게 쌓거나 기존 인맥과의 관계를 강화할 수 있게 하..
[Server] 인덱스 서버 전략백서입니다.
정보/기술 > 강의/교재    15페이지 
1. 인덱스서버의 특징을 ... 2. 쿼리 수행의 기초를 제공하는 인덱싱 3. 데이터베이스 커넥터의 사용 4. HTML, IDQ 그리고 HTX 5. Index Server의 미래 6. 아주좋은 Q/A부분 등등 쉽게 접해볼수 없는 ..
텔레마케팅의 구성요소
리포트 > 경영/경제    5페이지 
텔레마케팅의 구성요소 목차 * 텔레마케팅의 구성요소 Ⅰ. 콜센터(Call Center) Ⅱ. 데이터베이스(DB: Date Base) 1. 데이터베이스의 체계 2. 적용영역 3. 고객데이터베이스의 효과 4. 상품데이터베이스의 ..
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
정보의 본질과 역할
리포트 > 경영/경제    10페이지 
정보의 본질과 역할 목차 * 정보의 본질과 역할 Ⅰ. 정보의 본질 1. 정보와 데이터 2. 정보의 질 3. 정보의 표현방법 Ⅱ. 정보의 역할 1. 기업조직과 정보 가. 기업정보의 필요성 나. 기업정보의 요구조건 ..
개념 정의설명, 특성 특징 중요성, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례
SPSS 한글 통계프로그램 사용법 요약노트
리포트 > 사회과학    75페이지 
1장 SPSS의 기본 사용법 1-1 SPSS의 시작과 종료 1.2 자료의 입력 1.3 데이터 저장하기 1.4 파일 합치기 1.5 데이터 변환 1.6 데이터 조건설정 1.7 자료의 수정 및 편집 2. 엑셀파일 SPSS로 파일 불러오기..
spss 한글, 통계프로그램, spss한글사용법, spss한글사용방법, spss한글메뉴얼, spss한글프로그램, spss한글통계프로그램, 한글spss, 한글spss통계프로그램, 한글spss사용방법
[전자공학] 실시간 수송 프로토콜 RTP(Real-time transport protocol)
리포트 > 공학/기술    16페이지 
RTP 1. RTP 개요 실시간 수송 프로토콜(Real-time transport protocol)은 여러 명이 참여하는 영상회의의 필요성에 의해 고안된 프로토콜로서 종단간에 전달성이나 영상 또는 모의 실험 데이터등 실시간 특성을 ..
[공 학 실 험] 디지털 오실로스코프를 이용한 파형측정과 실험데이터 획득
리포트 > 공학/기술    5페이지 
[공 학 실 험] 디지털 오실로스코프를 이용한 파형측정과 실험데이터 획득 목 차 1. 오실로스코프의 정의 2. 실험 개요 및 방법 3. RC회로 (Low Pass Filter : 저역통과회로) 4. CR회로 (High Pass Filter : 고..
생성 시스템과 추론
리포트 > 공학/기술    14페이지 
1. 생성 시스템의 구조 1.1 생성 시스템의 구성 (1) 생성 메모리 (production memory) : 생성 규칙들의 모임. (2) 작업 메모리 (working memory) : 현재의 상태를 나타내는 버퍼(buffer)와 비슷한 데이터 ..
배너 광고와 DB 마케팅
비지니스 > 컨설팅/마케팅    23페이지 
저작자 : 박명수 (웹매니아 컨설턴트) 자료출처 : 웹매니아 사용언어 : MS Word 포맷 : doc 용량 :총 22 page 목차 : I. 배너 광고 1) 배너 광고란? 2) 배너 유치 사이트 유형 3) 배너 광고의 제작 원칙 ..
시장품질분석,와이블분포,생명표법,품질분석,카이스퀘어분포
리포트 > 경영/경제    19페이지 
차 례 Ⅰ. 와이블 분포 1 Ⅱ. 생명표법 2 Ⅲ. 실습내용 [1] 대형마트 데이터 1. 부품번호 및 고장번호 ··· 4 2. 대형마트의 사용환경 ··· 4 3. 부품의 고장분석 3.1 qdC / sk01 ··· 4 3.2 qdD / sk01 ··· 4 3.3 ..
사이버 참고정보원 시스템 구축 VRRS 시스템 개발 세부계획서
비지니스 > 사업계획서    12페이지 
VRRS 시스템 개발 세부계획서 - 사이버 참고정보원 시스템 구축- The2nd 제안요청에 대한 이해 1.사업목표 사업추진목표 The2nd 제안요청에 대한 이해 1.사업목표 제안의 배경 및 목적 The2nd 제안요청에 대한 ..
15 C# 컬렉션 그리그 인덱서
정보/기술 > 소프트웨어    35페이지 
컬렉션, 인덱서 자료구조란 여러 데이터들의 묶음을 저장하고, 사용하는 방법을 정의한 것이다. 데이터를 체계적으로 저장하고, 효율적으로 활용하기 위해서 자료구조를 사용한다. Ar rayList 자료구조의 특징과 ..
데이터, , 소드, 사용, 자료구조, 접근, 배열, , 요소, 통해, 인덱, 객체, , , , 인덱스, 가장, 늘다, 이다, 보시
15 C# 컬렉션 그리그 인덱서
정보/기술 > 소프트웨어    35페이지 
컬렉션, 인덱서 자료구조란 여러 데이터들의 묶음을 저장하고, 사용하는 방법을 정의한 것이다. 데이터를 체계적으로 저장하고, 효율적으로 활용하기 위해서 자료구조를 사용한다. Ar rayList 자료구조의 특징과 ..
데이터, , 소드, 사용, 자료구조, 접근, 배열, , 요소, 통해, 인덱, 객체, , , , 인덱스, 가장, 늘다, 이다, 보시
현대자동차 국내영업본부 합격 자기소개서
서식 > 자기소개서    4페이지 
현대는 위기를 기회로 만드는 힘이 있습니다." 이렇게 현대자동차는 위기 때 강해지는 능력이 있습니다. 이러한 경험으로 위기를 기회로 만드는 법을 기를 수 있었습니다. 데이터 분석력과 표현력, 영업 관련 실무..
자동차, , 직원, 경험, 데이터, 위기, 맡다, 시장, 분석, 영업, 통해, 현대, 과정, , 고객, 표현, 수강생, 부스, 기준, 가지
  이전    다음