로고
(검색결과 약 13,471개 중 23페이지)
사회봉사 대상과 분야에 따른 활동지침(아동의 특성과 사회봉사활동 지침)
리포트 > 사회과학    2페이지 
직접 작성한 레포트 입니다. 잘되어서 뿌듯하고 학점도 잘 받았습니다. 1.아동의 특성 1) 의존성 2) 자율성 3) 적응 4) 창의성 5) 집단 경험 2. 아동을 위한 사회봉사지침 1) 일반적 지침 2) 특별한 상황에 처해 ..
사회봉사, 사회봉사활동, 사회봉사분야, 사회봉사대상, 사회봉사활동지침, 아동특성, 아동대상봉사
진자의 구심력(결과)-물리 레포트
리포트 > 자연과학    4페이지 
진자의 구심력 1. 실험 목적 2. 이론 및 원리 3. 실험준비물 4. 실험 방법 5. 실험 결과 6. 토의 및 의견 1. 실험 목적 ◎ 진자의 앞뒤 진동에 의한 진자 봅 상의 구심력에 영향을 미치는 요소를 알아본다. ◎ ..
남성과 여성의 성반응 단계별 생리적 변화
리포트 > 의/약학    2페이지 
남성과 여성의 성반응 단계별 생리적 변화 1.남성의 성반응 단계별 생리적 변화 성반응 단계 신체부위 생리적변화 흥분기(excitement) 음경 자극받은 후3~5초내 발기되고 단단해짐 음낭 내부 반경이 작아지고 단..
일반물리 예비+결과 - 구심력 측정
리포트 > 자연과학    4페이지 
일반물리 예비+결과 - 구심력 측정 예비 보고서 [1]실험 목적: 원운동하는 물체에 작용하는 구심력을 질량* 구심가속도의 식을 사용하여 측정하고 직접측정한 등가의 힘과 비교하여 구심력과 구심가속도의 표현식..
원거리서비스 특약사항
서식 > 회사서식    1페이지 
긴급정비보수에 관한 원거리서비스 특약사항 작성 서식입니다. 원거리 서비스 특약사항 OOO는 선택 서비스 약관 다음의 조건에 따라 고객에게 긴급 정비보수 서비스를 제공하고 “기본정비보수료”에 대하여 할..
원거리, 서비스, 특약사항
가정생활의 본질과 현대 사회에서의 가정생활에 대해 논하시오
리포트 > 생활/환경    6페이지 
가정생활의 본질과 현대 사회에서의 가정생활에 대해 논하시오 * 가정생활의 본질과 현대 사회에서의 가정생활 과거에는 생산과 재생산으로 구성되는 인간의 전반적인 생활이 시간적 ․ 공간 적 일체감을 가지..
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
제품 리포지셔닝-마케팅,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p
리포트 > 경영/경제    17페이지 
결론 목 차 확장 전략 마케팅 전략 제품 개발 출시 배경 1.신제품개발의 주요원칙 2.소비자조사 3.포지셔닝 1.집중과 선택 2.4P전략방향 1.브랜드 확장 배경 2.리포지셔닝 3. 마케팅 전략 1.시장성과 2.향후과제와..
[일반물리학]2차원 충돌
리포트 > 자연과학    3페이지 
1. 실험 목적 두 개의 쇠공을 충돌시켜 충돌 전후의 속력과 방향을 측정함으로써 충돌 전후의 총 선운동량을 비교한다. 2. 실험 기구 및 장치 이차원 충돌 실험장치, 쇠공, 먹지, 줄자, 각도기, 전자저울, 3. ..
샤넬 CHANEL 브랜드분석과 샤넬 마케팅 SWOT,STP,4P전략과 다양한 마케팅사례분석및 샤넬 향후시사점 PPT
리포트 > 경영/경제    38페이지 
CHANEL 마케팅발표 1. 샤넬 브랜드소개 (1) 샤넬 브랜드개요 (2) 샤넬 브랜드역사 2. 샤넬의 디자인철학 3. 샤넬 경영전략 4. 샤넬 SWOT분석 5. 샤넬 STP분석 (1) Segmentation (2) Targeting (3) Positioning..
화학기초실험 - 액체 점도 측정
리포트 > 자연과학    7페이지 
1. 실험 목적 이 실험은 Ostwald 점도계법 및 구낙하법을 사용하여 여러 가지 액체의 점도를 측정하고 점도에 미치는 온도의 영향을 측정하고자 하는 것이다. 2. 이 론 액체가 일정 압력 P에 의해 모세관을 ..
공기에대한ReynoldsAnalogy의정확도실험
리포트 > 공학/기술    7페이지 
1. 실험 목적 공기에 대한 Reynolds Analogy(=f/2)의 정확도를 실험을 통해 결정하고 Nu,,f의 실험값과 경험식에 의해 주어진 값들과 비교한다. 2. 이론적 배경 유체내의 단위 면적당 열전달률은 온도기울기..
공학, 기술
일반물리학 실험 - 중력가속도 측정
리포트 > 자연과학    4페이지 
중력가속도 측정 1. 목적 Borda 진자의 주기와 길이를 측정하여 그 지점의 중력 가속도 를 구한다. 2. 기구 Borda 진자, 줄자, 버니어 캘리퍼스, 스톱워치 3. 이론 단진자는 무게를 무시할 수 있는 길이 인 ..
금형주조와 원심주조의 특성비교
리포트 > 공학/기술    16페이지 
금형주조와 원심주조의 특성비교 목차 목적 실험 방법 각 주조법의 특징 결론 목 적 금형주조와 원심주조의 실험과정과 특징 및주조방법의 차이에 따른 특성비교 실험방법-금형주조- 주석 용탕의 준비 금형..
오페라(춘희)
리포트 > 독후감/서평    4페이지 
오페라 “춘희” 춘희(La Traviata) 1853년에 작곡된 베르디의 오페라 ‘춘희’는 푸치니의 ‘라보헴’, 비제의 ‘카르멘’과 함께 세계에서 가장 널리 연주되는 세계3대 오케라 중의 하나이다. ♠모델소설 : 1848년 발표..
독후감, 감상문
물리-역학적 에너지 보존
리포트 > 자연과학    6페이지 
1. 실험 목적 사면과 원주 궤도를 따라서 여러 가지 구를 굴리는 과정에서 구의 회전운동 에너지를 포함하는 역학적 에너지의 보존을 알아본다. 2. 실험 원리 경사면 높이 h되는 곳에서 반지름 r이고 질량이 ..
  이전    다음